Jobshttps://northropgrumman.jobs2024-03-19T06:15:46-04:00(USA-UT-Roy) Northrop Grumman (DoD SkillBridge) Principal Information Systems Project Manager -86752024-03-19T06:15:46-04:00https://northropgrumman.jobs/06C8FB0DA13148D5983F053B30DEF82F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identify as veterans, and more than 1,600 are reservists.
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29 . NG-MIP is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members.
Responsibilities for this internship position are:
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SKillbridge) utilizing the DoDI guidance for Skillbridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
Goals - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
Objectives - Service Members who complete the Intern program will be highly-trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
Outcome - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
DoD SkillBridge Eligibility:
• Has served at least 180 days on active duty
• Is within 12 months of separation or retirement
• Will receive an honorable discharge
• Has taken any service TAPS/TGPS
• Has attended or participated in an ethics brief within the last 12 months
• Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.
Project Manager Job Description:
Climb to new heights on your journey when you start Defining Possible with Northrop Grumman. Enjoy a purposeful career in aeronautics that is crucial to the way we connect and protect our world across land, sea, and air. Bring your experience and take advantage of this opportunity to discover how you can start to push past possible and achieve your goals today. Enjoy a diverse, collaborative environment with professionals across the nation ready to help launch your career.
Leads and directs one or more project teams engaged in the design, implementation and modification of IT projects that span across functional organizations. Prepares project execution plan and identifies appropriate technical and business resources needed for the team. Ensures projects are completed on schedule, within budget and meets technical requirements of the assignment. Keeps current on advances in the field of IT. Provides technical and analytical guidance to project team.
Principal Project Manager Basic Qualifications
• 5 Years w/ Bachelors in Science, 4 additional years of experience may be used in lieu of a degree; 3 Years w/ Masters; 0 Years w/ PhD.
• Must have an Active, In-Scope, DoD Security clearance or higher that has been granted / renewed within the past 5-6 years.
• Must be a US Citizen and have the ability to obtain special program access (SAP)
Preferred Qualifications:
• Meets DoD SkillBridge Qualifications as per DODI 1322.29
• DAU trained
• PMI certified
• Agile experience/certified
• EVM experience
• IMS experience
• Skilled in tool development within Microsoft tool suite (MS Project, Azure DevOps, Excel, PowerPoint, SharePoint)
• Excellent communication, interpersonal skills and the ability to interface with all levels of employee and management
**Salary Range:** $90,400 - $135,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Melbourne) Associate Software Development Analyst2024-03-19T06:15:46-04:00https://northropgrumman.jobs/4FFD32DCA282480D8A8FA9B34CDC807426At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Chief Information office is seeking a Software Development Analyst at its Melbourne, FL location.
**Responsibilities include (but are not limited to):**
This position is for an application administrator that supports the Enterprise-wide applications. The incumbent will support, maintain, and/or resolve incidents in the system and its applications. He/she will be Involved in planning of system maintenance and upgrade deployments and responsible for meeting software compliance standards.
**Additional Duties include** :
+ Performs or supports testing and maintenance of system, ensuring documentation of all system corrections.
+ Performs root cause analysis, debugging problems within multi-environment, and working with technical teams to develop, test and implement system fixes.
+ Tracking, managing, and renewing software licenses and supporting software development scrum role.
+ Works effectively as a self-starter within a team environment that is located across the country.
+ Ability to support multiple projects concurrently based on priority and demonstrates strong analytical and problem-solving skills.
**Basic Qualifications:**
+ Bachelor's degree in a STEM field
+ Ability to obtain an DOD active Secret clearance.
+ Basic Software troubleshooting skills
**Preferred Qualifications:**
+ Excellent communication skills
+ Current CompTIA Security+ certification
+ AWS or Azure certification preferred
+ Current DOD Secret clearance Experience with web applications and administration
+ Familiarity with the following: Windows Server OS J2EE web application servers (JBoss / Tomcat / Websphere / Weblogic) Batch / Script programming (DOS, Powershell, Perl) Active Directory configuration and GPOs Cloud / VM / VDI configuration Technical writing
**Salary Range:** $62,200 - $93,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Melbourne) 2025 Intern Vehicle Engineering2024-03-19T06:15:46-04:00https://northropgrumman.jobs/51284A3E5ACB41589BC6FE47575654B326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is seeking Vehicle Engineering Intern to join the Aeronautics Systems Intern Program. This position will be located in Melbourne, FL or Saint Augustine, FL
The qualified candidate will become part of Northrop Grumman's Vehicle Engineering Directorate.
The selected candidate will work in a dynamic people-focused environment where he/she will interact with users, customers and other developers.
As an intern, you'll participate in a summer internship program to develop competencies in a variety of vehicle engineering specializations that may include:
+ Construct, troubleshoot, calibrate, adjust, test, and maintain equipment, components, devices, or systems.
+ Work from engineering drawings and written or verbal instructions.
+ Operate related equipment; conduct tests and report data in prescribed format.
+ Perform calibration and alignment checks; make adjustments , modifications, and replacements as directed.
+ Prepare prescribed compounds and solutions.
**Basic Qualifications**
**A candidate, regardless of age and hiring source, must meet** **ALL of** **the below criteria. The candidate must:**
+ Be enrolled full-time and pursuing an undergraduate or graduate degree from an accredited college/university AND graduating after August 2024.
+ Be majoring in a STEM (Science, Technolo gy, Engineering or Math) degree.
+ Be available to work full-time (40 hours per week) for at l east 10 weeks during summer 2024.
+ Be able to obtain and maintain a U.S. Government security clearance (U.S. citizenship is a pre-requisite) as well as Program Special access within a reasonable period of time , as determined by the company to meet its business needs (U.S. citizenship is a pre-requisite).
**Preferred Qualifications**
+ Have a n overall cumulative GPA of 3. 25 /4.0 or higher .
+ Previous internship/co-op experience, leadership & teamwork capabilities, interpersonal communication skills, and activities (professi onal/community/extracurricular)
**Intern Pay Range**
+ Undergraduate degrees (Including graduating students planning on attending/enrolled in a full-time Master's program): $21.50 to $27.75 per hour
+ Master's: $31.25 to $34.50 per hour
"At Northrop Grumman, our internship pay rates are standardized and take into account three main factors: the anticipated graduation date of the individual, the cost of labor of the geographic location, and whether the internship is considered technical or administrative."
Campusjobs
+ For a broader consideration, please consider completing a profile in our campus candidate community. By clicking on the link below your resume will be visible to recruiters and hiring managers across Northrop Grumman with opportunities nationwide for our internship and entry-level positions. https://northropgrumman.yello.co/app/collect/form/9iuA6\_W8E7bMDHTOHUZoWw
**Salary Range:** $1 - $1
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Principal Linux/Windows Systems Administrator2024-03-19T06:15:46-04:00https://northropgrumman.jobs/ACC9B025E3474FE9816A0E595F3EB01A26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a well-qualified Systems Administrator to join its dynamic team of technical professionals in Linthicum, MD. Please note that due to the classified nature of this position, the selected candidate will be required to work on-site, full-time, at our Linthicum, MD campus, and that this is not a remote position. Responsibilities will include but not be limited to the following:
+ Perform as a Linux/Redhat Systems Administrator for a classified government contract.
+ Perform as a Windows Systems Administrator for a classified government contract.
+ Maintain smooth operation of all computer infrastructure in a multi-user computer system environment, including coordination of efforts with systems, software, and electrical design engineers, Information Systems Security Officers, PC desktop technicians, end users, network engineers, program/project managers, and IT management.
+ Analyze internal and external customer requirements and determine equipment and software requirements for solutions to problems by means of automated systems.
+ Recommend and implement system enhancements that will improve the performance and reliability of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Provide backup and recovery services, manage data storage devices, and implement/upgrade/update virus and malware protection on a routine basis.
+ Create and maintain user and computer accounts and modify file permissions and security access lists.
+ Test new releases of products to ensure compatibility and minimize user impact.
+ Develop and document technical processes and procedures as needed.
+ Make recommendations to purchase hardware, software, and system components.
+ Interact, meet, discuss, and troubleshoot issues with vendors and evaluate vendor products, services, and suggestions.
+ Adhere to strict Information Systems security guidelines in all cases.
+ Familiarity with DoD STIGs, deploying new STIGs & working with IT/IA to develop POA&Ms for vulnerabilities that cannot be mitigated or STIG settings that cannot be applied.
+ Understand vulnerability and risk mitigation in accordance with RMF & DoD JSIG standards.
+ Maintain security audit and logging information on all classified networked and standalone computers as directed by Information Systems Security Officers (ISSOs) and Information Systems Security Managers (ISSMs).
+ Prepare security documentation for input to Computer Security.
+ Report project status as required for all recurring and non-recurring efforts.
+ Work under minimal direction and independently determine and develop approach to solutions.
**Basic Qualifications:**
+ Associate's degree and 7 years of experience, or Bachelor's degree and 5 years of experience, or Master's degree and 3 years of experience; a High School diploma or equivalent with 9 years of experience may be considered in lieu of a completed degree.
+ Candidates must have a current DoD Secret level security clearance (at a minimum), to include a closed investigation date completed within the last 6 years, or must be enrolled in the DoD Continuous Evaluation Program (CEP), in order to be considered; the required security clearance must be maintained as a condition of continued employment.
+ The selected candidate will be required to obtain and maintain a Special Access Program (SAP/SAR) clearance as a condition of continued employment.
+ IAM Level I certification (ex: Security+ CE) or greater required on Day 1 of employment; maintaining the required certification will be a condition of continued employment.
+ Experience with Splunk to include managing/maintaining indexers, search heads and deployment servers, creating and maintaining dashboards for users, creating custom extracts/regular expressions, familiarity with Splunk Query Language, and the ability to deploy new Splunk servers.
+ Experience managing Redhat Enterprise Linux OS.
+ Experience managing Windows Server OS and domain architecture.
**Preferred Qualifications:**
+ Bachelor's or Master's degree in IS related field.
+ Current DoD Top Secret security clearance.
+ Experience operating under and managing systems within NISPOM Chapter 8, DCID 6/3-ICD 503, RMF, STIG, JAFAN, or JSIG information system environments.
+ Experience installing, configuring, and maintaining computer hardware in a networked environment.
+ Understanding of basic networking, including subnets, routing, and VLANs; Cisco experience and/or certification.
+ Windows AD, LDAP, VMWare, and knowledge of SAN storage systems.
+ Linux Operating System experience and/or certification for current versions.
+ Experience with Windows & Linux Operating systems that support Security Engineering tools.
+ VMWare experience with current version.
+ Knowledge of environmental monitoring tools (HBSS/Solar Winds/Splunk).
+ Rudimentary Scripting experience.
+ Experience with the creation and deployment of system images in an enterprise environment.
+ Experience with Certification & Accreditation process.
+ DoD 8570 Certification in a primary OS (Windows, Linux, Cisco).
ESSysAdmin
ESWindowsAdmin
**Salary Range:** $93,000 - $139,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Sykesville) Sr Principal Contract Administrator2024-03-19T06:15:46-04:00https://northropgrumman.jobs/AD716B70675649208010F52E8838839326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Job Description
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
The Power and Control Systems (P/CS) Contracts team, located in Sykesville, MD is looking for a Principal or Sr. Principal Contracts Administrator to join our team. The Nuclear Power Systems and Advanced Controls supports a number of strategic programs and customers.
A hybrid work arrangement (remote work vs. working on site full time) is available with details to be discussed and agreed to with the hiring manager. This would mean 2-3 or more days a week in the office.
**What You'll Get to Do:**
The contract administrator (CA) will:
+ conduct proposal preparation, contract negotiation, contract administration, all in accordance with company policies and procedures, FAR/DFAR requirements, and customer specifications.
+ review spare and repair estimates of material, equipment services, production costs, performance requirements, and delivery schedules to ensure accuracy and completeness towards overall program success.
+ advise management of contractual rights and obligations; compile and analyze data; and maintain the historical contractual information.
**This requisition may be filled as a Principal Contract Administrator or a Sr.Principal Contract Administrator.**
**Basic Qualifications for an A03:**
+ (A03) Principal Contract Administrator: Bachelor's Degree with a minimum of 6 years of applicable (internal or external) work experience or a Master's degree or JD with a minimum of 4 years previous experience (ideally in contracts, subcontracts, finance, or other business management related function).
+ Be attentive to detail and able to handle complex proposals and contracts with minimal supervision.
+ Have excellent verbal, written, presentation, communication, and interpersonal skills.
+ Excellent Organizational and Computer skills with advanced skills using MS Office Suite (Excel, Word, PowerPoint, Office 365)
+ Experience analyzing complex agreements (NDAs, TAAs, Bailment Agreements, Terms & Conditions, etc.)
+ U.S. citizen.
+ Ability to obtain/maintain a Secret security clearance. (Preferred but not required to start)
**Basic Qualifications for an A04:**
+ (A04) Sr. Principal Contract Administrator: Bachelor's Degree with a minimum of 10 years of applicable (internal or external) work experience or a Master's degree or JD with a minimum of 8 years previous experience (ideally in contracts, subcontracts, finance, or other business management related function).
+ Be attentive to detail and able to handle complex proposals and contracts with minimal supervision.
+ Have excellent verbal, written, presentation, communication, and interpersonal skills.
+ Excellent Organizational and Computer skills with advanced skills using MS Office Suite (Excel, Word, PowerPoint, Office 365)
+ Experience analyzing complex agreements (NDAs, TAAs, Bailment Agreements, Terms & Conditions, etc.)
+ U.S. citizen.
+ Ability to obtain/maintain a Secret security clearance. (Preferred but not required to start)
**Preferred Qualifications for A03 and A04:**
+ Familiarity with USG Proposals and Contracts (specifically for the U.S. Navy) as well as Commercial Contractor where NG is the Sub to a Prime.
+ Negotiation experience using the FAR/DFARS and commercial terms.
+ MBA and/or Legal background a plus.
W **hat We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $84,600 - $127,000
**Salary Range 2:** $104,900 - $157,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MO-Independence) Casual Technical Professional - Sr Principal Mission Assurance Engineer2024-03-19T06:15:46-04:00https://northropgrumman.jobs/B3DC8D66154C4736A5C591A8FD9F1D7226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is seeking a Sr Principal Mission Assurance Engineer (Casual/On-call Employee) to support the 20mm Operations organization at our location in Independence, MO. This position is non-exempt, hourly with no benefits.
The Sr Principal Mission Assurance Engineer defines and monitors mission assurance program specifications and processes to ensure mission success of programs. Performs or assures quality, risk management, safety, reliability and maintainability of program achievements, subcontractors, and suppliers in accordance with contractual requirements. Assesses program performance and risks and determines resources to ensure mission assurance.
**Minimum Qualifications:**
+ A Bachelor's degree in a STEM discipline plus 10 years of Quality/Mission Assurance experience, a Master's Degree in a STEM discipline plus 8 years' experience,or PhD in a STEM discipline plus 0 years' experience.
+ Experience with quality management systems to support program processes, audits, and/or improvement
+ Experience Supporting 20mm operations
**Desired Qualifications:**
+ Experience with Mission Assurance Engineering and Quality Engineering practices
+ Demonstrated ability prioritizing tasks and meeting deadlines
+ Experience in Ammunition design, construction, or sustainment
+ Experience in process monitoring, data collection, analysis, and metric reporting for overall program and segments
+ A current/Active Top Secret clearance
+ Leadership experience within manufacturing and engineering environments.
+ Lead program PCAB and drive process improvements.
+ Experience with measurement systems evaluation
+ Experience with ballistic testing
**Salary Range:** $121,000 - $181,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) Financial Analyst 22024-03-19T06:15:46-04:00https://northropgrumman.jobs/E8488B41BB4947D2BC0A94DE7138E2EF26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Sector has an opening for a **Level 2 Financial Analyst** to join our team of diverse, qualified individuals located in **Clearfield, Utah** .
**Responsibilities include, but not limited to:**
+ Prepare, analyze and report monthly revenue and material receipt forecasts for assigned contracts.
+ Generate weekly material reports and publications.
+ Calculation and tracking of material related metrics.
+ Interface with Supply Chain, Planners, and Buyers to ensure up to date forecasts and maintain accuracy.
+ Prepare reports and provide recommendations to program management teams.
+ Maintain Earned Value Management System (EVMS) compliance including cost/schedule integration
+ Perform analyses and prepares reports in order to ensure that contracts are within negotiated and agreed-upon parameters and government cost control guidelines
+ Develop and review estimates at Complete (EACs); Present and communicate results and changes.
**Basic Qualifications:**
+ Bachelor's degree in Business with 3 years of experience in Finance or Accounting OR a Master's degree in Business with 1 year of experience in Finance or Accounting
+ Demonstrated ability to prioritize multiple assignments in order to meet deadlines
+ Excellent analytical and reporting skills
+ Proficiency with Microsoft Office Suite; Excel, Word, PowerPoint
+ Ability to obtain and maintain a DoD Secret Clearance and program access
**Preferred Qualifications:**
+ Working experience with Earned Value Management Systems (EVMS)
+ Experience in the following disciplines include and are not limited to: Procurement, Logistics, Purchasing, Subcontracting, Supply Chain Management and Production
We offer phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly collaborative workplace. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do. Does this sound like you?
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself! Every ERG is inclusive of all employees!
At Northrop Grumman, we are innovating-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule, and a great 401K matching program.
**Salary Range:** $62,200 - $93,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) NG Fellow 1 - Tactical Edge2024-03-19T06:15:45-04:00https://northropgrumman.jobs/A4452800F30F48939D0F3F87133ADBF926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Payload and Ground Division** is seeking an **NG Fellow 1** **to serve as the Chief Architect/Engineer** supporting the **Maritime Targeting Cell (MTC)** **family of Ground Systems** for the **Integrated National Systems (INS)** business unit (BU). As the recognized leader in this technical domain, you will join Engineering, Program and Business Development leaders in architecting, developing, maturing, and integrating breakthrough technology solutions for new development/production opportunities.
This position must be resident in **Baltimore MD, Fairfax VA, or Morrisville NC** with up to 50% travel and will report directly to the Senior Director of Engineering.
**Northrop Grumman Fellows** are recognized experts in their fields who solve the hardest technical problems while ensuring we retain the hard-won insights needed to perform complex tasks successfully. These leaders define possible in our most important technical capability areas while identifying and cultivating essential people, processes, and tools. They are deployed against critical programs and captures, aligned with our sector's top business priorities, and advance our products and solutions to meet customers' missions every day.
The ideal candidate will set and drive strategy for a broad set of technical initiatives and be empowered to make decisions that significantly impact annual operating plans (AOP), long-range strategic plan (LRSP) outcomes, and the Technology Roadmap. The NG Fellow is expected to provide scientific, technical, intellectual leadership, as well as analytical support contributing to the advancement of growth goals. The candidate must also have a strong grasp of campaign execution, networking strategy, and possess the skills, drive, and judgement to successfully interface with senior leaders/technologists across the company and customer community.
The **Tactical Edge Chief Engineer** will be the technical focal point for both ongoing and next generation programs developing forward deployed Ground systems enabling Joint All Domain Command and Control (JADC2) and Multi-Domain Operations (MDO). The associated Ground Systems will provide key enabling technologies including multi-domain sensor fusion, AI/ML techniques, communication/dissemination architectures, and open systems architecture concepts to create an ecosystem of interconnected and interoperable systems. The candidate will be responsible for leading the development of Tactical Edge-focused technology roadmaps, IR&D plans, mission and sensor modeling, novel technologies, hardware prototypes, and algorithms to address emerging opportunities in this mission area. The candidate will also be responsible for ensuring Systems Engineering rigor is in place on existing programs that meet customer expectations to execute successfully within cost, schedule, and performance constraints.
**General responsibilities may include but are not limited to:**
+ Evolve Technology Roadmaps to inform Northrop Grumman's strategy, shape future program pursuits and assist in developing discriminating Mission Thread capabilities.
+ Conduct very complex analyses that require deliberate planning, analyzing, organizing, and integration of current and emerging operational and system capabilities to achieve desired mission effects.
+ Provide key insights and inputs in the development of proposals for technology and product development.
+ Advisory support to programs/captures across the INS BU to help meet program execution goals.
+ Serve as a primary domain expert, identifying and quantifying capability gaps, focusing attention on technological solutions to meet future needs, inform requirements, and support capability portfolio management.
+ Conduct complex scientific or technical analyses on behalf of a sector or significant business/technical area that requires the synthesis of diverse disciplines and alternatives; solutions may be developed under conditions of uncertainty or where little precedent exists.
+ Conduct Technology full-spectrum analysis in support of key business functions, to include needs assessment, capability gap analysis, and analysis of alternatives.
+ Develop relationships with customers, interface with key stakeholders, and support development of new business or expand our technology credibility with the customer.
+ Involved in attracting and capturing new business by participating in proposals, Non-Advocate Reviews (NARs) and analyses of alternatives/trade studies requiring the area of technical expertise.
+ Advocate for technical differentiation through innovation or synthesis.
+ Participate in customer, industry, and/or academic, advisory boards or consortiums.
+ Apply and/or develop highly advanced technologies, scientific principles, theories, and concepts.
+ Work closely with the Sector/Division Leaders to define technical solutions aligned to customers' strategies and requirements.
+ Champions company values, including safety, best practices, and continuous improvement.
+ Provides personnel technical development through leadership, team building, mentorship, and shared knowledge.
+ Serve as key member of Enterprise Chief Technology Officer (CTO) Working Groups and coordinate enterprise-wide information requirements that align the Operating sectors' Technology analysis requirements.
**Specific Technology Responsibilities may include but are not limited to:**
+ Ensure continued development of experts in the tactical edge portfolio, multi-domain data processing, and advanced analytics.
+ Support the planning and execution of the Tactical Edge Technology Roadmap.
+ Support the planning and execution of the JADC2 Roadmap.
+ Survey industry of existing commodities that support the Roadmap - buy vs. make.
+ Serve as an independent technical reviewer as needed.
+ Establish and maintain working relationships with key external SMEs.
+ Represent NGC in industry advisory boards or consortiums related to Tactical Edge.
+ Key contributor to developing depth of expertise among peers in Tactical Edge.
**Basic Qualifications:**
+ Bachelor's Degree in a STEM (Science, Technology, Engineering or Mathematics) discipline and 20+ years of engineering experience. Or a master's degree in a STEM (Science, Technology, Engineering or Mathematics) discipline and 18+ years of engineering experience. Or a Ph.D. in a STEM (Science, Technology, Engineering or Mathematics) discipline and 15+ years of engineering experience
+ 10 or more years of experience developing technical solutions in the Ground systems mission area with an understanding that spans Command and Control, Mission Management, Data Processing, and Data Dissemination, supporting enterprise functions, key organizations involved in acquisition, operations, and planning and budgeting.
+ 10 or more years of demonstrated success leading interdisciplinary and cross-functional teams to create technical solutions.
+ Active Top-Secret/SCI is required to be considered.
+ Strong collaboration skills with experience working across BUs, divisions, sectors within NG as well as with industry partners and customer community, as well as strong communication skills.
+ Ability to motivate and guide teams and activities without having formal "ownership" of the staff supporting those activities.
**Preferred Qualifications:**
+ Current/previous INS program accesses
+ Demonstrated background of successfully applying NG Systems Engineering Principles and Operating Practices to guide program technical design, development, and deployment of large-scale systems architectures.
+ Ten or more years of experience in remote sensing applications across multiple operating domains (air, land, sea, space, cyber)
+ Ten or more years of experience developing Ground systems across multiple operating domains (space and tactical implementations)
+ Five or more years' experience supporting development of mission-level campaign concepts of operations and Enterprise scale systems architectures.
+ General knowledge of data exploitation, AI/ML techniques, and Automatic Target Recognition (ATR)
+ General knowledge of sensor-to-shooter mission areas to include current and emerging needs and technology capability gaps.
+ Existing relationships of INS customer(s) and their stakeholder needs
*For the job application submission, applicants are urged to address qualifications against the above requirements (basic qualifications and preferred qualifications).
**Salary Range:** $197,800 - $310,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Principal Computer Systems Analyst2024-03-19T06:15:45-04:00https://northropgrumman.jobs/C94A3CD3533542688454D9AE282B471B26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is seeking a well-qualified Computer Systems Analyst to join our team of qualified and diverse technical professionals . Prospective candidates should be team players with a strong background in Linux-based operating systems. This position will be located primarily in Palmdale, CA with occasional travel to San Diego, CA as needed. Roles and responsibilities include:
+ Linux Administrators will create, maintain, and update documentation for assigned systems.
+ Install new Linux OS releases as well as configure, upgrade, patch, and secure servers.
+ Install, upgrade, and maintain Intel-based system hardware and Red Hat Linux software.
+ Back up, retrieve, maintain, and migrate Linux based programs and data.
+ Monitor systems, validate the integrity and accessibility of all server resources, hardware, systems, and key processes.
+ Carry out hardware upgrades, performance tuning, and resource optimization whenever required.
+ Utilizing scripting such as Bash, PERL, Ruby, and/or Python to complete tasks and automate processes as needed.
Basic Qualifications:
+ Associate's degree and 7 years of experience, OR Bachelor's degree and 5 years of experience, OR Master's degree and 3 years of experience; 9 years of experience may be considered in lieu of completed degree.
+ IAT Level II certification (ex: Security+ CE) or greater or ability to obtain with 3 months of start date
+ Active DoD Secret Clearance
+ Strong understanding of Unix-based operating systems
+ Experience in managing one or more virtualization platforms such as KVM, VMWare or Hyper-V
+ Well-versed with scripting in Bash, PERL, Ruby, and/or Python.
+ Knowledge of Dell server hardware.
+ Industry certifications such as RHCSA or RHCE
Preferred Qualifications:
+ Red Hat Certified Engineer (RHCE)
+ Strong knowledge of Red Hat Public Key Infrastructure (PKI) including Certification Server.
+ Proficiency in scripting in Shell and Rails.
+ Active DoD Top Secret Clearance
**Salary Range:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MN-Plymouth) 2024 Software Test Engineer - Pathways Program - Plymouth MN2024-03-19T06:15:45-04:00https://northropgrumman.jobs/FAF0A70718A34DDAB33AF89D5507F1D226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Discover careers that change the world and further advancements in defense, technology, and engineering today at Northrop Grumman. Use your experience to grow your career and support our global customers with the technology, systems, and solutions they need to enable their missions on the front lines and secure our world every day. With Northrop Grumman, you'll discover a culture built on diversity, respect, and above all teamwork. Together with our group of experts across the technical spectrum, you'll discover opportunities to make a difference in our world and start solving some of the world's most critical problems in the most innovative ways.
**Northrop Grumman is seeking Associate Software Test Engineers.** This position will be located at our Defense Systems Sector in Plymouth, MN.
**This position will be in the Pathways rotational program where there will be three rotation opportunities within engineering.**
The qualified candidate will become part of Northrop Grumman's Armament Systems Business Unit.
The Software Test Engineer is an integral part of each product development team. Involvement begins at system requirement definition and continues through system verification and test. The Software Test Engineer will ensure that, through comprehensive testing, high quality software is developed and delivered.
**Job Responsibilities:**
+ Review, analyze and understand software requirements.
+ Provide improvement suggestions for testability, clarity, completeness, consistency, etc.
+ Generate a clear test plan.
+ Define a comprehensive and concise set of test cases.
+ Develop automated test using LabVIEW & various lab equipment.
+ Support test readiness review and perform Formal Qualification Test (FQT) of real-time embedded software.
+ Concisely and accurately report technical details & status and document each phase of software test.
+ Generate clear and professional documentation (test plans, test descriptions and test reports).
+ Follow and promote CMMI compliant software processes.
**Basic Qualifications:**
A candidate must meet ALL of the below criteria. The candidate must:
+ Be completing or has completed their degree (Bachelor's, Master's, or PhD) by August 2024
+ Be majoring in or having majored in Computer Engineering, Computer Science, Software Engineering, Electrical Engineering, or closely related field.
+ Be able to obtain a U.S. Government security clearance (U.S. citizenship is a pre-requisite)
+ Experience or coursework in lab environment working with tools such as function generators and oscilloscopes.
**Preferred Qualifications:**
+ Have an overall cumulative GPA of 3.0/4.0 or higher.
+ Experience or coursework with LabVIEW
+ Experience or coursework with Microsoft Suite of Products
+ Experience or coursework in embedded C/C+Salary Range:
$68,800 - $103,200
For a broader consideration, please consider completing a profile in our campus candidate community. By clicking on the link below your resume will be visible to recruiters and hiring managers across Northrop Grumman with opportunities nationwide for our internship and entry-level positions.
https://northropgrumman.yello.co/app/collect/form/9iuA6\_W8E7bMDHTOHUZoWw
campusjobs
**Salary Range:** $68,800 - $103,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-IL-Rolling Meadows) Space TS/SCI - Principal/Senior Principal Engineer Systems (Up to $15k in sign-on bonus for eligible candidates!)2024-03-19T06:15:43-04:00https://northropgrumman.jobs/0DE737D9292E45D3932749ABEA22F00526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems designs, builds and delivers space, defense and aviation-related systems to customers around the world. Our main products include launch vehicles and related propulsion systems; missile products, subsystems and defense electronics; precision weapons, armament systems and ammunition; satellites and associated space components and services; and advanced aerospace structures.
Our Systems Engineering Integration & Test (SEIT) organization, within Payload & Ground Systems Division (PGSD), provides complex subsystems and defense electronics. This position can be hired as a Sr. Principal Systems Engineer in either of the following 2 disciplines: (1) Systems Design or (2) Modelling & Simulation.
This position is located at our Rolling Meadows, IL location.
As a part of the SEIT team, a Systems Design engineer is exposed to the front end Systems Engineering process and coordinates across many disciplines to ensure that the system description and requirements are properly and thoroughly defined, captured, and meet the mission need for the end customer.
Tasking for Systems Design can include:
+ Performing key systems engineering elements to meet a given program's requirements
+ Supporting the design and development of sensor system architectures
+ Performing functional analysis, timeline analysis, detailed trade studies, requirements allocation, and interface definition studies to translate customer requirements into hardware and software specifications
+ Responsibility for close customer cooperation to ensure that requirements meet customer expectations
+ Providing presentations to customers and senior management, with tailored material based on the audience
+ Leading / overseeing technical work products developed by the larger engineering team, supporting design reviews and integration and test activities as needed
+ creating and refining Model Based System Engineering products (System behavioral models, Use Case realizations, State Machines, etc.)
+ generation and update of specifications in DOORS, including requirements traceability and dxl script development
+ capability assessments to predict requirement compliance
+ functional algorithm development
+ risk assessment and burn down of mitigation steps
+ generating and reporting system Technical Performance Measures
+ Interface development and documentation
As part of the SEIT team, a Modeling & Simulation Engineer is exposed to the full life-cycle of Systems Engineering process and coordinates across many disciplines to ensure that the system is modeled with sufficient fidelity. In the early stages of programs, modeling & simulation is leveraged to understand system CONOPs, inform system architecture, and perform trades. In the later stages as the design matures, field test data is used to verify and validate the models and ensure the payload models are representative of actual performance.
Tasking for modelling and simulation can include:
+ Providing physics level and mission level modeling subject matter expertise
+ Leading a team of modelling and simulation engineers to define study scope and guide independent research and development activities.
+ Supporting capture activities, including RFI/RFP preparation, customer presentations and live demonstrations, and competitor analysis
+ Software development of physics-based in-house models and algorithms
+ Perform analysis and trades of product line architectures using analytical models and mission level simulations
+ Functional algorithm development
+ Authoring technical documentation such as white papers, proposal technical volumes, program milestone briefings (i.e. SRR, PDR, CDR,...), systems engineering design documentation
+ Presenting technical analysis to multiple stakeholders
+ Creating and refining Model Based Systems Engineering Products (System behavioral models, Use Case realizations, State Machines, etc.)
In addition, the ideal candidate has recent experience in the fields of either EOIR, RF systems, or both. Specifically, the ideal candidate will have experience in the following specialties.
+ Advanced knowledge and recent experience in one or more of the following areas: EOIR/RF systems: sensors/antennas, transmitters/receivers, lasers, LiDAR, optics, visible and IR cameras, focal plane arrays.
+ Real-time signal processing
+ Requirements analysis and allocation as related to Systems Engineering
**Additional $10k sign-on bonus for selected candidates who possess an ACTIVE TS/SCI clearance, and an additional $5k sign-on bonuses to selected candidates who possess an active Polygraph.**
**Basic Qualifications** :
+ Principal Engineer -Bachelor's degree with 5 years of experience, a Master's degree with 3 years of experience or a Ph.D. with 0 years of experience in Electrical Engineering, Computer Engineering, Computer Science, Applied Physics, Applied Mathematics, or related technical fields.
+ Sr Principal Engineer -Bachelor's degree with 9 years of experience, a Master's degree with 7 years of experience or a Ph.D. with 4 years of experience in Electrical Engineering, Computer Engineering, Computer Science, Applied Physics, Applied Mathematics, or related technical fields.
+ Theoretical knowledge of the System Engineering V-Model and hands on experience executing the systems engineering work flow
+ Demonstrated analytical thinking, problem solving skills, and creativity
+ Excellent decision making and communication (written and oral) skills
+ Team players with a strong work ethic and initiative to perform in a cross functional team
+ Ability to obtain Top Secret/SCI level accesses
**Preferred Qualifications:**
+ Active Top Secret Clearance with SCI & polygraph is preferred
+ Working knowledge of RF sensors/antennas, transmitters/receivers
+ Experience with real-time signal processing in both firmware and software
+ Advanced knowledge of orbital mechanics, dynamics and controls, rendezvous and proximity operations (RPO), orbit determination, and attitude planning
+ Knowledgeable in orbit planning in LEO, GEO and cislunar regimes
+ Knowledgeable in the use of COTS tools such as AGI's Systems Tool Kit (STK) and Orbital Determination Tool Kit (ODTK)
+ Full life cycle experience developing, integrating, and deploying space systems
+ Knowledgeable in space systems design and mission planning
+ Experience in specification development and requirements derivation using DOORS
+ Experience deploying innovative modeling methods for Model Based Systems Engineering (MBSE) using tools like Cameo
+ Knowledge of MATLAB, SysML, Python, or other scripting languages
+ Recent experience creating integration and test, design verification test and flight test plans and procedures
+ Ability and willingness to travel up to 15%
+ Experience working in an Agile environment
+ CompTIA Security+ Certification
+ Experience as a system administrator, security engineer, Information Systems Security Officer (ISSO), or other role supporting a system Authorization to Operate (ATO)
**Salary Range:** $127,000 - $190,600
**Salary Range 2:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Redondo Beach) Staff Supply Chain Subcontract Specialist2024-03-19T06:15:43-04:00https://northropgrumman.jobs/5B316E81EC504775AE3BD67C3F40913126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Staff Subcontracts Specialis** t to join our team of qualified, diverse individuals. This position will be basedin Redondo Beach, California with the ability for remote work.
Source and procure complex, specialized goods and services. Owns contractual management for the supplier. Responsible for subcontract sourcing, proposal evaluation, negotiation, award, execution, administration and closeout phases. Coordinates and collaborates with key stakeholders such as legal, contracts, finance, planning, operations, program management, engineering, and quality. As part of a cross-functional team, candidate will monitor and manage schedule, cost, technical and quality performance of suppliers in support of US Government programs. Subcontract Specialists will interface with supplier leadership teams to motivate and drive excellence in performance of contractual requirements.
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Responsible for providing subcontracting process and compliance requirements guidance to junior Subcontract Specialists, Supplier Management Teams and Integrated Product Team Leads. Provides subject matter expertise in all aspects of supplier and subcontract management. Works under general direction toward long-range goals and objectives. Assignments are often self-initiated. Performs independently with minimal management oversight.
**Essential Functions:**
+ Responsible for subcontract sourcing, proposal evaluation, negotiation, award, execution, administration, and closeout phases
+ Assesses supplier performance and regularly communicates feedback to Supplier Leadership
+ Manages supplier performance throughout the subcontracting lifecycle
+ Ensures compliance with all FAR, DFARS and public law requirements, as well as company policies and procedures
+ Identifies strategies and opportunities to reduce cost, improve efficiency and manage risk through strategic and targeted negotiations
+ Cultivates and maintains strong working relationships with suppliers, customers, and internal stakeholders
+ Contributes to Program Risk and Opportunity management
+ Utilizes process tools such as Lean Six Sigma with suppliers to drive quality, efficiency, and continuous improvement
+ The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, and collaborative team settings across all levels
**Basic Qualifications:**
+ Must have a Bachelor's Degree with at least 14 years of experience in supply chain management, project management, sustainment, proposals, procurement, resource planning , business management or accounting, OR a Master's Degree with at least 12 years of experience in supply chain management, project management, sustainment, proposals, procurement, resource planning, business management or accounting OR High School Diploma/Equivalent with at least 18 years of experience in supply chain management, project management, sustainment, proposals, procurement, resource planning or accounting
+ Must have experience in subcontracts management, contracts management,proposal price/cost analysis, procurement, program management, advanced planning/MRP, and/or strategic sourcing.
+ Must have experience with SAP or related business application software
+ Must have experience with FAR and DFARS experience
+ Ability to obtain and maintain a DoD Secret Clearance and Program Access within a reasonable amount of time as determined by the business.
**Preferred Qualifications:**
+ Working knowledge of FAR and DFARS regulations.
+ Supplier selection/management experience on US Government contract(s).
+ Subcontracting experience with aircraft production and/or sustainment programs.
+ Experience working within a government approved purchasing system.
+ Experience working in foreign subcontracts and/or ITAR/EAR experience.
+ Familiarity with NGAS Subcontracting terms and conditions.
+ Active DOD Secret/Top Secret Clearance
**Salary Range:** $136,300 - $204,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Saint Augustine) Manager Project Management 22024-03-19T06:15:42-04:00https://northropgrumman.jobs/07E0BF504F674E6ABC7435FA918FB3D826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems is seeking a highly qualified and mission-oriented **Manager Project Management 2** to be part of the Post-Production Mods and Development Integrated Product Team (IPT) in **St. Augustine, FL** .
This role will support the MDC2 Post-Production Operations, supporting the IPT Director within Operations. The ideal candidate must possess strong leadership abilities, excellent communications skills, and effective problem-solving capabilities. The selected candidate will be required to develop mechanisms for monitoring project progress and for intervention and problem solving with project managers, line managers, and customers. They must be knowledgeable of Operations functions (Manufacturing, Global Supply Chain, and Mission Assurance), various program life cycles, manufacturing capabilities, scheduling techniques, continuous improvement strategies, compliance requirements, and financial practices to aid in cost and schedule objectives and implement strategic initiatives.
The selected candidate must demonstrate effective communication with various levels of leadership and have the ability to present to executives and various functional organizations.
**Key responsibilities include:**
+ This leader will be over new developments for the E-2D.
+ Assisting in the management of performance, schedule, and execution of all MOD vehicles
+ Serving as a liaison between the Operations leadership team and counterparts in other functions and sectors/divisions to share information and provide support to benefit overall Operations execution.
+ Reviewing and comprehending NX/CAD models of MDC2 engineering to ensure MODs are within manufacturing feasibility.
+ Managing developmental-type tooling and familiarity with redline processes in support of manufacturing engineering requirements.
+ Assessing project issues and developing resolutions to meet productivity, quality, and client-satisfaction goals and objectives.
+ Developing mechanisms for monitoring Operations goal progress as well intervening and problem solving with Operations staff and support functions as required.
+ Coordinating with the Manufacturing Operations Director for forecasting of staffing, skillsets and tools.
+ Identifying and leading functional focus area initiatives for improvement/streamlining, developing and executing operational initiatives driven by operational goals and sector-specific objectives; assisting with initiatives that eliminate non-value-added activities at all levels.
+ Preparing for, and facilitating, a broad range of functional Operations organization meetings and events including leading and managing weekly/monthly/quarterly reviews, the senior leadership team's biweekly staff meeting agenda, the bi-annual leadership summit, site visits, and other meetings/reviews.
+ Integrating day-to-day operational objectives to meet organizational goals.
We offer flexible work arrangements, 4/10 Monday- Thursday 6am to 4:30pm work schedule with every Friday off, phenomenal learning opportunities, educational assistance, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement and respond vigorously to change.
**Basic Qualifications:**
+ Bachelor's Degree with 8 years of project management/manufacturing experience; OR Associate degree with 10 years of project management/manufacturing experience; OR High School diploma/GED with 12 years of project management/manufacturing experience
+ Understanding of manufacturing practices and proven experience effectively working within and through corporate culture.
+ Knowledge of NX/CAD, Human Interaction Virtual Environment (H.I.V.E.), Teamcenter, and/or SAP
+ Experience with MS Office (Word, Excel & PowerPoint)
+ Demonstrated ability to support complex programs while collaborating with multiple stakeholders including but not limited to Operations, Engineering, Human Resources, Business Management, and others.
+ Demonstrated ability to direct and implement Root Cause & Corrective Action.
+ Must have the ability to obtain and maintain Secret DOD clearance AND special program access (PAR) within a reasonable period, as determined by the company to meet its business need.
**Preferred Qualifications:**
+ Experience w/ MDC2 Production
+ Proficient in NX/CAD, Teamcenter, SAP, MS Office
+ Experience in developing and executing H.I.V.E. assessments studies in support of manufacturing operations.
+ Experienced in development and capture programs with comprehensive knowledge in all operation's needs.
+ Demonstrated ability to balance and influence multiple competing priorities and interests.
+ Strong understanding of E-2D engineering, build philosophy and developmental manufacturing.
+ Demonstrated ability to understand detailed program financial performance.
+ Robust problem solving and analytical skills.
+ Demonstrated ability to make recommendations and provide guidance to effectively bring projects to completion.
+ Comprehensive knowledge of company and sector operations, policies, procedures, and PrOP.
+ Active DoD Secret Security Clearance, or higher.
**What We Offer:**
At Northrop Grumman, we are on the cutting edge of innovation -- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer exceptional benefits/healthcare, a great 401K matching program, and 14 Employee Resource Groups inclusive of all employees!
**Salary Range:** $108,400 - $162,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Colorado Springs) Sentinel (GBSD) - Principal Systems Engineer - 12595*2024-03-19T06:15:42-04:00https://northropgrumman.jobs/A3EB6D72EA0E4C888FE50E3E72881D4C26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Space Systems sector is seeking a Principal Systems Engineer. This position is in our Strategic Deterrent Systems Division to execute the Engineering and Manufacturing Development phase of the Sentinel (GBSD) program in support of the United States Air Force. Learn more about the Sentinel program here . This position will be located on campus in **Colorado Springs, Colorado** and may require on-site support at product manufacturing and integration sites.
**What you will get to do:**
This position will support Systems Engineering activities for the Command and Control (C2) segment, specifically the C2 Hardware development team. The C2 Hardware team is designing a complex system consisting of a wide variety of COTS and custom hardware components, and this position offers a unique opportunity to work with a broad swath of technologies and hardware systems. This position will be responsible for producing Systems Engineering artifacts and designs for a variety of products. Experience managing requirements will be useful for this position.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. This role may offer a competitive relocation assistance package. This requisition may be filled at a higher grade based on qualifications listed below.
\#GBSDsystems
**Basic Qualifications** :
+ Bachelor's degree in a Science, Technology, Engineering or Mathematics (STEM) field with 5 years of experience; or Master's degree with 3 years of experience; or PhD with 0 years of experience
+ Must be a US citizen with the ability to obtain DoD Secret clearance.
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance.
+ 1 year of experience with requirements specifications: writing, decomposing, analyzing, allocating, baselining
+ 1 year of experience with computer, electrical, or other hardware systems
+ Understanding of the Systems Engineering V model
+ Basic understanding of electrical signals
**Preferred Qualifications** :
+ Bachelor's or Master's degree in Computer Engineering, Electrical Engineering, or related field
+ Experience using DOORS to manage requirements
+ Experience with Model-Based Systems Engineering (MBSE) using tools such as Cameo or Rhapsody
+ Experience with Product Lifecycle Management concepts and tools, such as Siemens NX and TeamCenter
+ Experience with SysML, UML, or other modeling languages
+ Experience with DoDAF standards and methods
+ Experience with Hardware and/or Software design
+ Experience analyzing and documenting Interfaces (e.g. ICD, IRS, IDD)
+ Experience with Agile Development
**Salary Range:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Staff Digital Subsystems Engineer2024-03-19T06:15:41-04:00https://northropgrumman.jobs/9A5CE90598F8460995938128A69382E626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems Sector is searching for Digital Subsystems Engineers that are ready to use their knowledge of advanced digital technologies and engineering experience to help us develop deployable solutions for our customers. This position will be filled at the Staff Engineer level.
This person will have a technology leadership position that will span multiple roles:
+ Team Member - Working with interdisciplinary teams to develop digital electronic subsystems and allocating functionality to subsystem components
+ Leader - Providing direction and oversight to internal and external design teams developing subsystem modules and components such as Single Board Computers, SoCs, ASICs, and FPGAs
+ Innovator - Designing and modeling digital signal processing and control algorithms implemented in Embedded Processors, ASIC and FPGA technologies
+ Developer - Developing digital electronic subsystem architectures and designs that are compatible with company and industry standards
Responsibilities will include:
+ Working with System, Software, RF, Security, and Platform teams to define product architectures to meet customer requirements
+ Implementing DSP algorithms in Matlab/Python or other higher level languages
+ Creating subsystem requirement specifications and directing design engineers during development process
+ Developing integration and test plans for subsystems
+ Collaborating with design and implementation teams to ensure requirements are met on schedule and within budget
+ Monitor and participate in the development of industry standards
+ Learning, applying and driving improvement of internal engineering processes
+ Supporting managers in business capture activities as needed
**Basic Qualifications:**
+ Bachelor's degree with 14 years of experience, a Master's degree with 12 years of experience or a PhD with 9 years of experience in Electrical Engineering, Computer Engineering, Computer Science, or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S Citizenship is required
+ An active DoD Secret Security Clearance is required with the ability to obtain Special Program Access (SAP) prior to start.
+ Experience and strong background in developing digital electronic subsystems
+ Domain knowledge and experience in some of the following or similar areas: Radar, Communications, Digital Signal Processing, Digital Receivers, Direct Digital Waveform Generation, Software Defined Radio, SWAP Constrained Processor, Embedded Networking
**Preferred Qualifications:**
+ Advanced Degrees in Electrical Engineering, Computer Engineering, Computer Science, or related technical fields
+ 5+ years of technical leadership experience
+ US Citizen and an active DoD Top Secret Clearance or higher
+ Aerospace and Defense Experience developing digital electronic modules, circuit boards, ASICs and/or FPGAs
+ Demonstrated expertise in field (e.g. journal published, patents)
+ DoD Open Architecture and Industry Standards knowledge and experience (e.g. SOSA, VITA, IEEE)
+ Additional Domain knowledge and experience in some of the following or similar areas: EO/IR Sensors, Sonar and Acoustic Sensors, SWAP Constrained Processing, AI & ML, Multi-chip Modules, System in a Package, Hardware Emulation, Digital Twins, High Speed Interfaces or Embedded Networking
This position is contingent upon transfer of DoD Secret Clearance and Special Program Access (SAP) prior to starting.
**Salary Range:** $173,200 - $259,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-OK-Oklahoma City) Level 3/Level 4 Sr. Principal Contract Administrator - hybrid2024-03-19T06:15:40-04:00https://northropgrumman.jobs/82339637F1E9406499F9711ED26A4CB026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems is seeking a **Contracts Professional level 3 (Principal Contracts Administrator) or Contracts Professional level 4 (Sr. Principal Contracts Administrator)** to join its team of qualified and diverse individuals supporting its Strike Division.
The successful candidate will support the high-profile B-2 Program and work closely on a day-to-day basis with Managers, Directors, Engineers & Vice Presidents.
This position will be hired at a Principal Level 3 or a Sr. Principal Level 4 and will follow a 9/80, Hybrid work schedule. This schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. The Contract Administrator is responsible for supporting proposal preparation, contract negotiation, contract administration and customer contact activities to provide and ensure proper contract acquisition and fulfillment in accordance with company policies, legal requirements, and customer requirements. You will be an embedded member of the program management team, supporting program success and new growth opportunities across the portfolio.
This position will be located in Oklahoma City, OK.
**Primary Job Duties:**
+ Act as primary interface with customer for contractual issues
+ Monitor contract and associated program to ensure that contractual obligations are communicated and understood
+ Participate in contract proposal preparation, contract negotiations, contract administration, and customer contract activities to manage assigned contracts in accordance with company policies, legal requirements, and customer specifications
+ Work under general supervision to develop solutions to both routine and complex contractual matters to ensure company compliance with contract requirements on assigned programs
+ Ensure fulfillment of contract requirements, manage contract changes and maintain historical information
+ Review terms and conditions to address provisions and risks of financial terms, acceptance criteria, delivery requirements, warranty, intellectual property, termination provisions, indemnification and all other potential risk areas and recommend risk mitigation strategies
+ Prepare and manage written communications with internal and external customers for assigned contractual matters
+ Enter and maintain contract data into Contract Management System or Enterprise Accounting System
+ Ensure timely delivery of all contractual deliverables and submission of invoices
**Basic Qualifications for Principal Level 3:**
+ Bachelor's Degree and 6+ years of professional work experience, or Master's Degree and 4+ years of professional work experience related to contracts
+ An additional 4 years of experience will be accepted in lieu of degree
+ Ability to travel up to 10% of the time
+ Knowledge of FAR/DFAR requirements
+ Knowledge of multiple contract types including Firm Fixed Price, Time & Materials or Cost Plus Fixed Fee
+ Ability to obtain/maintain Secret Clearance
**Basic Qualifications for Principal Level 4:**
+ Bachelor's Degree and 10+ years of professional work experience, or Master's Degree and 8+ years of professional work experience related to contracts
+ An additional 4 years of experience will be accepted in lieu of degree
+ Ability to travel up to 10% of the time
+ Knowledge of FAR/DFAR requirements
+ Knowledge of multiple contract types including Firm Fixed Price, Time & Materials or Cost Plus Fixed Fee
+ Ability to obtain/maintain Secret Clearance
**Preferred Additional Qualifications:**
+ Prior experience within aerospace or defense
+ Experience with incentive fee and award fee contract types
+ Contract Management Certification (Certified Professional Contract Manager, Certified Commercial Contract Manager, Certified Federal Contract Manager, Certified Contract Management Associate)
+ Current, active DoD TS/SCI Security Clearance, or ability to obtain a clearance
**Salary Range:** $72,600 - $108,800
**Salary Range 2:** $89,900 - $134,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MN-Plymouth) Program Director I2024-03-19T06:15:40-04:00https://northropgrumman.jobs/8BA1C496B86D41F1B296BA2236D7BDEF26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Armaments Systems Business Unit is looking to backfill a Program Director I to manage our Precision Guidance Kit (PGK) portfolio of products in Plymouth, MN. Armament Systems specializes in the development and production of Ammunition, Guns and Precision Guidance Ordinance.
The position's primary responsibilities focus on strategic alignment, program production, program execution, product development oversight, and customer management for the PGK Portfolio within the Combat Systems Operating Unit (OU). The Program Director is responsible for oversight of growing revenue base (14% CAGR) of a portfolio with a projected $140M revenue in 2024. Key responsibilities include developing growth strategies through new business captures, executing production programs, managing multiple domestic and international pursuits, preparing next generation products for the market, maintaining customer relationships, and overall program execution performance related to the product portfolio.
**Responsibilities will include but is not limited to:**
+ Advocating and implementing the OU's vision, mission, and goals.
+ Manage Portfolio revenue, profit, and cash performance.
+ Manage a high-performance team of Program Managers of 3 FTEs.
+ Providing critical leadership and direction to functional managers and operations to ensure production programs are executed to our plan. Functional team includes over 120 personnel from Engineering, Supply Chain, and Production operations.
+ Management of all phases of execution from proposal development through contract closeout to ensure successful execution is achieved.
+ Participate as a member of the OU leadership team, the business strategy team, and continuous improvement board.
+ Planning for capital expenditures supporting PGK production and associated financial goals.
+ Providing overall leadership and direction to the program team (to include development of team members, team engagement, issue resolution, and initiating corrective actions).
+ Directing program and portfolio strategy development integrated with the overall business and strategy; and participating in the business strategic and discretionary planning processes.
+ Maintaining proper portfolio discretionary budget oversight.
+ Managing risk, issues, and opportunity processes. Identifies potential risks and opportunities that could impact portfolio performance and takes proactive action to resolve issues regarding cost, schedule, prioritization, and resource allocation.
+ Establishing and clearly communicating overall portfolio objectives, mission, vision, and strategy - builds morale and supports team needs.
+ Driving timely and clearly communicated decisions and recommendations to senior leadership, the program team, and OU management team.
+ Building and maintaining successful relationships with current and prospective customers; coordinates and communicates effectively with functional leadership and teams.
+ Managing proposal teams and timely delegations with senior leadership. Able to communicate with senior leadership and outline potential opportunities, proposal schedules, financial positions, and proposed risks.
**Basic Qualifications:**
+ 12+ years of experience required with a Bachelor's Degree, or 10+ years of experience required with a Master's Degree, with experience supporting U.S. Government or commercial contracts and customers and/or project management in other industries.
+ 5+ years of team leadership/management experience
+ Excellent interpersonal skills with ability to manage the program team daily.
+ Strong formal and informal communication skills with all levels of internal and external management.
+ Demonstrated experience managing revenue, profit, and cash performance of programs.
+ Demonstrated project management skills and techniques including work breakdown structures, integrated master scheduling and earned value management.
+ Demonstrated experience working with customers to ensure project success.
+ Experience managing and leading a team; teaching and mentoring others to manage in same way - a strong willingness to learn, take initiative and resolve issues.
+ Experience resolving disputes and negotiate with positive outcome while maintaining good relationships with customers.
+ Familiarity with mechanical and electrical engineering product development and processes.
+ Experience managing risk to reduce likelihood and severity of program impacts.
+ International business experience.
+ Strategic thinker with excellent organizational skills.
+ Must be eligible to obtain and maintain DoD Secret Clearance.
+ US Citizenship required.
+ Travel up to 25% of the time both domestically and international.
**Preferred Qualifications:**
+ Masters Business Administration, strongly desired.
+ 15+ years of experience.
+ Contract experience in the Defense Industry and DoD, strongly desired.
+ Prior experience with large or medium caliber military armaments.
+ PMI training and certification desired.
**Salary Range:** $200,100 - $300,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Human Resources Business Partner/Principal Human Resources Business Partner2024-03-19T06:15:40-04:00https://northropgrumman.jobs/BF8137A3C00B4C5E858BD0A0E2C60F5C26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Human Resources (HR) team at Northrop Grumman Defense Systems is seeking a **Human Resources Business Partner/** **Principal** **Human Resources Business Partner** to join a growing community of committed HR professionals at our facility located onsite in **Palmdale, CA** . This position will also be providing onsite support to our Edwards AFB location. Our HR community is composed of incredible people with different abilities, diverse thinking and varied backgrounds who find professional fulfillment in understanding and advocating for employees, supporting the coaching and development of the management team, and solving organizational challenges through our partnership with business leadership. The selected candidate will be welcomed into a team aligned to support a diverse employee workforce of varying levels.
This position will support key functions of our Operations team. The incumbent will be the focal point to consult on and assist in the implementation of HR strategy, programs and policies across multiple client groups; including talent acquisition, new employee onboarding, performance management, employee relations, compensation, reward and recognition, employee engagement and retention initiatives, succession planning, organization and staff development, leadership/bench strength development, staffing, EEO and other areas within the HR body of knowledge. The implementation of these programs will be through proficient leadership and project management skills and close collaboration with HR subject matter experts. In addition, the selected candidate will be responsible for project management of HR projects, and must demonstrate the ability to plan, organize, implement, and close multiple projects simultaneously on various topics within HR work streams.
The Strike and Special Mission Aircraft HR Team is composed of incredible people with different abilities, diverse thinking and varied backgrounds who find professional fulfillment in understanding and advocating for employees, supporting the coaching and development of the management team, and solving organizational challenges through our partnership with business leadership. The selected candidate will be welcomed into a team aligned to support a diverse employee workforce of varying levels.
This position will support key functions of our Operations team. The incumbent will be the focal point to consult on and assist in the implementation of HR strategy, programs and policies across multiple client groups; including talent acquisition, new employee onboarding, performance management, employee relations, compensation, reward and recognition, employee engagement and retention initiatives, succession planning, organization and staff development, leadership/bench strength development, staffing, EEO and other areas within the HR body of knowledge. The implementation of these programs will be through proficient leadership and project management skills and close collaboration with HR subject matter experts. In addition, the selected candidate will be responsible for project management of HR projects, and must demonstrate the ability to plan, organize, implement, and close multiple projects simultaneously on various topics within HR work streams.
**Basic Qualifications for Human Resources Business Partner:**
+ Must have a high school diploma or a GED with a minimum of 7 plus years in HR or related experience.
+ Will also consider:
+ Bachelor's degree required plus a minimum of 3 plus years of experience in HR or related field
+ Master's degree required plus a minimum of 1 plus years of experience in HR or related field
+ Ability to provide advanced consulting on and skillful application of HR principles, concepts, policies and practices to solve business challenges.
+ Ability to analyze data to define the root cause, design practical, creative and/or innovative solutions, and ensure solutions are effectively implemented
+ Ability to support the business and HR team with minimal task supervision, utilizing relationships to ensure alignment with organizational priorities and proactively sharing best practices for team learning and growth
+ Proficient verbal and written communication skills, with demonstrated ability to effectively communicate with and influence others. Proficient in Microsoft office (Word, Excel, PowerPoint)
+ Travel up to 25%
+ Must be able to obtain and maintain an active Secret DoD clearance
**Basic Qualifications for Principal Human Resources Business Partner:**
+ Must have a high school diploma or a GED with a minimum of 10 plus years in HR or related experience.
+ Will also consider:
+ Bachelor's degree required plus a minimum of 6 plus years of experience in HR or related field
+ Master's degree required plus a minimum of 3 plus years of experience in HR or related field
+ Ability to provide advanced consulting on and skillful application of HR principles, concepts, policies and practices to solve business challenges.
+ Ability to analyze data to define the root cause, design practical, creative and/or innovative solutions, and ensure solutions are effectively implemented
+ Ability to support the business and HR team with minimal task supervision, utilizing relationships to ensure alignment with organizational priorities and proactively sharing best practices for team learning and growth
+ Proficient verbal and written communication skills, with demonstrated ability to effectively communicate with and influence others. Proficient in Microsoft office (Word, Excel, PowerPoint)
+ Travel up to 25%
+ Must be able to obtain and maintain an active Secret DoD clearance
**Salary Range:** $72,100 - $108,100
**Salary Range 2:** $88,700 - $133,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-El Segundo) Principal Engineer EHS2024-03-19T06:15:40-04:00https://northropgrumman.jobs/CD30296335EC47A88CC1551E8277F01D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems is seeking a **Principal Engineer EHS** for the El Segundo, California site. This position will be part of the Environmental, Safety, Health and Medical (ESHM) team and will s erve as the internal air quality subject matter expert performing complex technical work in air quality compliance, reporting, and permitting projects at a Title V and RECLAIM facility. The role requires reviewing processes and equipment, analyzing permit-related technical and regulatory issues, and communicating those analyses to internal customers and various levels of leadership. The position involves effective communication, decision-making, attention to detail, and time management.
Responsibilities and Duties Include:
+ Perform air quality program permitting and compliance activities
+ Complete Title V and SCAQMD RECLAIM compliance reporting
+ Support California Air Resources Board (CARB) State Programs as needed, including Greenhouse Gas (GHG) Reporting, Refrigerant Management Program, Portable Equipment Registration Program (PERP), Truck and Bus, and Diesel Off-Road and Large Spark Ignition (LSI) requirements
+ Perform incident analysis to determine causal factors and the most appropriate corrective actions
+ Support audits and inquiries from regulatory agencies, including SCAQMD
+ Assist in planning for changes at the site, reviewing new or modified equipment and new manufacturing programs to meet regulatory and company requirements
+ Monitor and analyze the developing trends and changes in regulatory compliance laws, rules and regulations
+ Review and analyze environmental documentation issued by the federal EPA, state and local regulatory agencies.
+ Determine impact of regulatory landscape on company operations and develop compliance strategies and requirements
+ Support environmental problem-solving and routine program tasks in chemical and hazardous waste management, wastewater compliance, safety compliance and environmental sustainability.
**Basic Qualifications:**
+ Bachelor of Science degree in engineering or a Bachelor of Science degree in an environmental science or related major and 5+ years of related (refer to job description) experience; Or 3+ years of related experience with a Masters Degree; Or 0 years of experience with a PhD.
+ Experience and/or basic understanding of South Coast Air Quality Management District (SCAQMD) rules, as well as environmental regulations.
+ Ability to read, understand, and complete documentation as it relates to required permits for SCAQMD
+ Computer related skills for database management, internet/intranet use, and/or typical Microsoft Office related programs
+ Ability to work with limited supervision, self-starter
**Preferred Qualifications:**
+ Prior experience completing and submitting permit documentation to SCAQMD
+ Experience with or desire to work on a multi-disciplined Environmental, Health and Safety team
+ 2 or more years of experience working with SCAQMD rules
+ Knowledge of Cal/OSHA requirements or a willingness to learn or apply them
+ Experience in applying environmental requirements to solve problems
+ Ability to effectively communicate with a diverse group of stakeholders in a matrix organization, both written and oral
**Salary Range:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-AL-Huntsville) Contract/Principal Administrator2024-03-19T06:15:40-04:00https://northropgrumman.jobs/CD7B30F1DFD741089E32AF6E0C01D09F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems (NGDS) is seeking a Principal Contracts Administrator or a Contracts Administrator to join its team of qualified and diverse individuals supporting its C4 Missile Defense (C4MD) Business Unit. The successful applicant will assume contracts responsibilities for domestic work in the Global Mission Command and Control Operating Unit. This position is Hybrid with a primary presence in Huntsville, AL or any Northrop Grumman facility.
**Job responsibilities include:**
+ Collaborate effectively with the program team (finance, supply chain, quality & mission assurance, legal, pricing, and compliance) to recommend and complete actions to ensure satisfactory program performance and proposal activities in a high intensity, fast moving environment.
+ Support solicitation review/analysis, proposal preparation, and signoff.
+ Briefing complex issues to senior leadership.
+ Negotiate, administer, extend, and close various types of contracts (federal government and direct commercial contracts)
+ Work with program business management team to closely monitor contract funding and payments.
+ Advise programs and management of contractual rights and obligations.
+ Provide for proper contract acquisition and fulfillment in accordance with company policies, legal requirements, and customer specifications.
+ Establish successful working relationships with customers via email, telephone, and in-person, when appropriate.
+ Examine estimates of material, equipment services, production costs, performance requirements, and delivery schedules to ensure accuracy and completeness.
+ Maintain historical information including official correspondence.
**Basic Qualifications:**
**(Level 02)** - Bachelor's degree with a minimum of 2 years of contract administration experience -OR- Master's degree with a minimum of 1 year of contract administration experience or additional 7 years in lieu of a degree
**(Level 03)** - Bachelor's degree with 5 years of contract administration experience -OR- Master's degree with a minimum of 3 years of contract administration experience or additional 10 years in lieu of a degree
+ Experience in U.S. Government Department of Defense contract administration / management with working knowledge of FAR/DFARS.
+ Must have or be able to obtain and maintain a US DoD Secret Clearance.
+ Must be able to travel domestically as needed to support program and capture needs.
**Preferred Qualifications for both levels:**
+ Master's degree or higher in business, contracts, or law.
+ Experience supporting proposals and negotiating various types of federal and direct commercial contracts.
+ Demonstrated experience working independently on complex contract management responsibilities, including contract and regulatory compliance, and negotiations (price, terms and conditions, and technical requirements).
+ Demonstrated public speaking experience on complex matters.
+ Demonstrated experience managing contractual risk during both the proposal phase and the execution phase.
+ Demonstrated experience in Production contracts.
+ Demonstrated experience with SAP and Contract Management Systems.
+ Strong problem-solving skills and the ability to be flexible and change directions when needed.
**Salary Range:** $68,000 - $103,000
**Salary Range 2:** $84,000 - $127,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) SW Application Administrator/Analyst Level 2 or 3 - Roy UT2024-03-19T06:15:39-04:00https://northropgrumman.jobs/155B8840D56F463BAA925C19B373B64B26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Chief Information Office is seeking a Software Development Analyst. The position will deploy, research, support, customize, and maintain the suite of Commercial and Open Source applications used by Software and Systems Engineering.
**This requisition may be filled at either a level 2 or a level 3, depending on the candidate's education and experience. Primary location would be Roy, UT.**
Responsibilities include (but are not limited to):
+ Configure, deploy and administer commercial and open source software
+ Maintain strong expertise and knowledge of current and emerging technologies and products.
+ Provide best practice, recommendations and guidance to manage SSLM operations at scale
+ Ability to implement a configuration management solution
+ Scripting for DevOps processes
+ Server Configuration
+ CI/CD Automation
+ Docker container deployment
Basic Qualifications for a Level 2:
+ Bachelor's degree with a minimum of two (2) years of related experience in similar / related field OR Master's degree w/ zero (0) years of related experience.
+ Windows and UNIX/Linux operating systems experience
+ Demonstrated understanding of Server/Client SW installation
+ Must have the ability to obtain a DoD Secret security clearance and ability to obtain Special Program Access (SAP)
+ Possess a DoD 8570 certification at IAT Level II or higher or obtain within 90 days from hire.
Basic Qualifications for a Level 3:
+ Bachelor's degree in Engineering, Computer Science, Mathematics or related STEM discipline with five (5) years of experience OR a MS degree in Engineering, Computer Science, Mathematics or related STEM discipline with three (3) years of experience.
+ Must have demonstrated experience with both Windows and UNIX/Linux operating systems
+ Internet Information Services (IIS) experience
+ Apache experience
+ Active DoD Secret security clearance and ability to obtain Special Program Access (SAP)
+ Possess a DoD 8570 certification at IAT Level II or higher or obtain in 90 day from hire.
Preferred Qualifications:
+ Excellent communication skills
+ Load Balancers: Apache, HA Proxy experience desired
+ Exposure to web-based software development or operations
+ Exposure to Cloud technologies
+ Have a broad understanding of development, web operations, SDLC, etc
+ Ability to work well in a team environment
+ Scripting languages: python, PowerShell, or JavaScript
+ Experience with Postgres and MS SQL Server desired
+ Active DoD Secret security clearance with last investigation less than 5 years
+ Possess a DoD 8570 certification at IAT Level II or higher
**Salary Range:** $75,300 - $112,900
**Salary Range 2:** $92,600 - $139,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Linux Systems Administrator2024-03-19T06:15:39-04:00https://northropgrumman.jobs/209F17C7915A46A79D77C2EF327DCCEE26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a Linux Administrator to join its dynamic team of technical professionals in Linthicum, MD. Please note that the selected candidate will be required to work on-site, full-time, at our Linthicum, MD campus, and that this is not a remote work opportunity. Responsibilities will include but not be limited to the following:
+ Perform primarily as a Linux Systems Administrator for a classified government contract.
+ Support Windows Domains, ensuring Linux systems & accounts authenticate centrally & function as required.
+ Communicate effectively at all levels of the organization, with internal and external customers, in written and verbal format.
+ Maintain efficient operation of all IT infrastructure in the assigned Program area(s), including servers, clients, networking equipment, and software running on the network.
+ Support multiple networks and ensure necessary IT administration tasks are completed independently as necessary.
+ Analyze internal and external customer requirements and recommend equipment and software requirements for solutions to problems by means of automated systems.
+ Recommend and implement system enhancements that will improve the performance and reliability of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Provide backup and recovery services, manage file systems and disk space, and manage virus protection on a routine basis as directed by policy.
+ Create and maintain user and computer accounts and modify file permissions as directed by policy.
+ Test new releases of products to ensure compatibility and minimize user impact.
+ Develop, maintain, and document technical processes and procedures as needed.
+ Maintain security audit and logging information on all classified networked and standalone computers as directed by the Cyber Security team.
+ Report project status as required for all recurring and non-recurring efforts.
**Basic Qualifications:**
+ Associate's degree with 4 years of experience, or a Bachelor's degree with 2 years of experience; a High School diploma or equivalent with 6 years of experience may be considered in lieu of a completed degree.
+ Experience maintaining Linux systems in classified environments and ensuring authentication centrally and functioning as required.
+ A working knowledge of Active Directory (AD) maintenance and support, Group Policy (GPO), DNS management, DHCP and scopes, LDAP protocols, license manager support, deployment of golden images and underlying infrastructure, and vulnerability scanning tools.
+ Candidates must have a current DoD Secret level security clearance (at a minimum), to include a closed investigation date completed within the last 6 years, or must be enrolled in the DoD Continuous Evaluation Program (CEP), in order to be considered; the required security clearance must be maintained as a condition of continued employment.
+ The selected candidate will be required to obtain a Special Access Programs (SAP/SAR) clearance before a start date can be established; the required SAP/SAR clearance must be maintained as a condition of continued employment.
+ Currently valid Security+ CE, CASP, CISSP, or similar DoD 8570 security certification, otherwise an ability to obtain the required certification within 6 months of start date; certification must be maintained as a condition of continued employment.
+ Experience working on a team of IT professionals.
+ Ability to travel as needed.
+ Ability to lift equipment weighing up to 40 pounds.
+ Ability to work after hours and on weekends as needed.
**Preferred Qualifications:**
+ Bachelor's or Master's degree in IS related field.
+ A current DoD Top Secret or Top Secret/SCI security clearance.
+ DoD 8570 Certification in a primary OS (Windows, Linux, Cisco).
+ Experience giving technical presentations to technical and non-technical attendees.
+ Experience installing, configuring, and maintaining computer hardware in a networked environment.
+ Experience operating under and managing systems within NISPOM Chapter 8, DCID 6/3-ICD 503, RMF, STIG, JAFAN, or JSIG information system environments.
+ Experience with Systems Certification & Accreditation process (under DCSA's STIG and/or JSIG requirements).
+ Experience with the creation and deployment of system images in an enterprise environment.
+ Knowledge of environmental monitoring tools (HBSS/Solar Winds/Splunk).
+ Knowledge of SAN storage systems.
+ Linux & Windows Server management experience.
+ Linux Operating System experience and/or certification for current versions.
+ Microsoft Active Directory domain architecture experience.
+ Rudimentary Scripting experience.
+ Understanding of basic networking, including subnets, routing, and VLANs; Cisco experience and/or certification.
+ VMWare Virtualization technology experience with current version.
ESSysAdmin
**Salary Range:** $75,700 - $113,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Fairfax) Staff Engineer Electronics (SECRET clearance or higher)2024-03-19T06:15:39-04:00https://northropgrumman.jobs/B11CA0D3B20343119654A346E386311726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
**Northrop Grumman is seeking Electrical Engineers** . This position will be located at our Mission Systems Sector in Chantilly, VA.
The qualified candidate will become part of Northrop Grumman's Signals Intelligence Mission Solutions (SMS) Department.
**T5 or T6**
**Basic Qualifications:**
+ Must be a US Citizen and have a Secret clearance at a minimum.
+ Minimum education and experience are 14 years' experience with bachelor's in science; 12 years' experience with Masters; 9 years' experience with PhD.
+ Experience in the design and development of microelectronics, reverse engineering, an overall understanding of custom electrical engineering techniques used in the integration of complex electrical systems in tightly constrained spaces.
+ Knowledge of systems engineering, lifecycle design and development.
+ Knowledge of engineering development activities to include design, test, checkout, fabrication, modification, and/or assembly of electronic and electromechanical systems.
+ Experience with various computer/hardware interfaces such as USB 2.0/3.x/C, RS-232 serial port, I2C, SPI, JTAG, PCIe, SMBUS and SATA.
+ Experience in detailed schematic capture and multi-layer PCB layout for analog, power, and high-speed digital circuits - *KiCad is a plus*.
+ Knowledge of embedded firmware and hardware systems.
+ Experience developing firmware in C for Microchip PIC microcontrollers, Cypress microcontrollers, and/or NXP microcontrollers.
+ Experience or training in basic Surface Mount Technology (SMT) soldering and assembly skills.
**Preferred Qualifications:**
+ Candidate with a TS/SCI clearance with/without Polygraph.
+ Experience with HDI PCB layout, in-circuit debuggers, and working alongside mechanical engineers for PCB constraints.
**Salary Range:** $165,000 - $247,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Aurora) Staff Cyber Systems Eng2024-03-19T06:15:39-04:00https://northropgrumman.jobs/FB63D8643FAC466D8F75978BC45E867B26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
Northrop Grumman Space Systems (NGSP) is seeking a **Staff Cyber Systems Engineer** to join our team of qualified, diverse individuals located in Aurora, CO.
This position performs technical planning, system integration, verification and validation, cost and risk, and supportability and effectiveness analyses for total systems. Analyses are performed at all levels of total system product to include: concept, design, fabrication, test, installation, operation, maintenance and disposal. Ensures the logical and systematic conversion of customer or product requirements into total systems solutions that acknowledge technical, schedule, and cost constraints. Performs functional analysis, timeline analysis, detail trade studies, requirements allocation and interface definition studies to translate customer requirements into hardware and software specifications.
The selected individual will have experience with Cybersecurity implementations based on DoD, National Institute of Standards and Technology (NIST), Committee on National Security Systems Instruction (CNSSI), and Risk Management Framework (RMF) processes, policies, and guidelines.
**Basic Qualifications:**
+ Bachelor's Degree and 14+ years Cybersecurity experience OR master's degree and 12+ years of experience OR PhD and 9+ years of experience
+ Must have an active TS/SCI security clearance
+ Experience with DoD System Security Engineering activities
+ Experience with Risk Management Framework (RMF), Security Technical Implementation Guide (STIG) and requirements development from control
+ Possess a current ISC2 CISSP certification
+ Experience planning/configuring enterprise level security tools, focused on Nexpose Rapid 7, Splunk Auditing, and Trellix ePO servers and possess DISA ESS 201, 301, 501 Trellix ePO certifications
+ Able to produce pertinent reports to display the security posture of the Program and comfortable briefing senior management for strategic planning considerations.
+ Able to embrace multiple responsibilities which revolve around the creation and implementation of a continuous monitoring program
+ Capable of assessing the Program system security posture in accordance with customer requirements / directives
+ Proficient at adjusting commercial security methodologies and technologies within sensitive and proprietary customer environments as per NIST 800-53
+ Experience in ISSO | ISSE duties to include inspecting equipment which both comes into and out of a secured area.
+ Experience with Risk Management Framework (NIST 800-37) accreditation functions, including documentation, scanning, assessment, POAM management, through all steps of the RMF
**Preferred Qualifications:**
+ Experience working on a multidisciplinary team and writing technical proposals
+ Excellent communication, interpersonal skills, and the ability to interface with all levels of employees and management
**Salary Range:** $173,200 - $259,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Fairfax) Mgr Programs 22024-03-19T06:15:37-04:00https://northropgrumman.jobs/2D7A3458A0584D8281413C4FE51BC7FD26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Mission Systems sector is looking for a Manager, Programs Level 2 to support the Marine Logistic Support business unit.
This position can be peformed remotely (100%).
The primary objective will be the delivery of all contractual requirements on cost and on schedule, while achieving all technical requirements and creating customer intimacy to support value creation strategies and actions. The individual will lead a cross-functional organization aligned to common program performance goals.
Program Managers are responsible for leading all phases of the program life cycle from inception (proposal development) through startup, execution, and completion (contract closeout). Primary responsibilities are the management of cost, schedule, and technical performance of company programs or subsystems and include, but are not limited to:
+ Cultivating customer relationships and intimacy to develop further opportunities within the customer community
+ Developing new business opportunities through long term strategic planning, capture planning, and development of proposals and business plans
+ Establishing a program organization that effectively addresses customer requirements and incorporates the necessary internal and external sub-organizations
+ Leading and directing cross-functional Integrated Program Teams (IPT) to meet program cost, schedule and technical performance objectives
+ Measuring and reporting program performance
+ Delivering presentations to customers, executive management and other program stakeholders
+ Participating in the negotiation of contracts, contract changes, specifications, operating budgets, schedule milestones, and key terms and conditions.
+ Establishing design concepts, criteria, and engineering efforts for product research, development, integration and test.
+ Creation, review and finalization of the program Statement of Work
+ Identification, distribution, tracking, and completion of program requirements
+ Establishment and management of the program and subordinate baselines
+ Development and adherence to budget baselines utilizing Earned Value Management (EVM) or similar cost & schedule control methodologies and tools
+ Identifying, allocating and managing program resources, including workforce planning
+ Managing Government/customer supplied property or information (GFE, CFE, etc.)
+ Managing suppliers to meet program objectives.
+ Adherence to all internal processes, policies, and applicable industry standards
+ Ensuring program team understands and adheres to contract scope, and manages change through control board activities
+ Development and adherence to master plans and schedules
+ Conducting thorough risk & opportunity management practices including identification, mitigation and realization
**Basic Qualifications** **:**
+ Technical Bachelor's Degree and 9 years, or Master's and 7 years' experience supporting U.S. Government contracts and customers and/or project management in other industries
+ Experience leading the performance of tasks on schedule, at cost and achieving all requirements as either project lead, integrated program team or cost account manager
+ Demonstrated success leading teams/and or organizations to achieve a common goal
+ Ability to maintain a Secret Clearance
**Preferred Qualifications:**
+ Experience with Marine Propulsion and Power generation equipment
+ Experience with SAP roles in manufacturing, engineering, and quality engineering
+ Understanding of Shipyard processes, procedure and operations.
+ Existing Secret Clearance
**Salary Range:** $138,100 - $207,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Aurora) Sr Staff Engineer Systems2024-03-19T06:15:37-04:00https://northropgrumman.jobs/63A0B3D51BF64EFDACFCBC519569F68D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The **Payload and Ground Systems** organization within the **Northrop Grumman Space Systems** pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, and innovative technologies to develop, design, produce, and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today. #definingpossible
The Mission Exploitation Operating Unit (MEXOU) in Aurora, CO is looking for a Sr. Staff Systems Engineer to join the program supporting the Systems Engineering, Integration and Test (SEIT) organization as the SEIT Lead.
**Roles and responsibilities of the SEIT Lead to include, but are not limited to:**
+ Diplomatically interface with all levels of management, employees, internal and external customers, and vendors
+ Lead a diverse engineering team and be responsible for the end-to-end technical execution, cost, schedule, quality, and staffing for the MEXOU product line
+ This position requires multidiscipline knowledge in all areas related to engineering including systems design, hardware, and integration and test.
+ The candidate will be reliable, resourceful, work accurately and independently with an ability to anticipate, initiate, and follow through with all responsibilities.
+ The SEIT Lead will oversee engineering program planning and execution, Earned Value Management System (EVMS) reporting, briefings and providing overall leadership and coordination of a multi-disciplined Engineering team.
+ The position will be tasked with project leadership and cost account management (CAM) responsibilities.
+ Engineering Review Board representation over total systems lifecycle (SRR, SFR, SDR, PDR, CDR, SVR, TRR, PRR, and FRR) for the system technical baseline
+ Understanding of DoD systems engineering requirements
**Basic Qualifications:**
+ Strong understanding of requirements analysis, derivation, and management, CONOPs development, cost and risk analysis, and system design.
+ Bachelor's degree in a STEM discipline with 14 years of relevant experience; 12 years with a Master's; or 9 years with a PhD.
+ Proactive, highly motivated, and adaptable, with excellent organizational skills including the ability to juggle multiple tasks, changing needs, and competing priorities. Individual must be able to complete a wide variety of tasks with minimal supervision.
+ Able to work in a dynamic, operational environment at a government off-site.
+ Experience working in a technical environment, with interface to engineering and science leaders, managers, and employees.
+ Expertise in Microsoft Office Suite (Word, PowerPoint, Outlook and Excel) and intranet/internet proficiency
+ **Top Secret Clearance** /w SCI eligibility and willingness to sit for a poly
**Preferred Qualifications:**
+ Experience with design and development of national security satellites, interfaces and ground systems architecture and/or integration of multiple IPTs is strongly preferred
+ Experience working on Agile programs and methodology.
+ Understanding and implementation of Model Based Systems Engineering
+ TS/SCI with poly
+ Experience working in a defense customer and aerospace industry firm.
+ Experience with Northrop Grumman processes and procedures
**Salary Range:** $174,800 - $262,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Systems Engineering Manager 3 - AMC2024-03-19T06:15:37-04:00https://northropgrumman.jobs/D63B81D3BEA94BA68CF0DA955102819A26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a candidate with leadership skills to serve as a Systems Engineering Section Manager in the Airborne Multifunction Sensors (AMS) functional homeroom. The candidate must possess strong systems engineering skills, people development, organizational and communications skills.
The candidate will be responsible for ensuring that Systems Engineering process rigor is implemented. They will collaborate regularly with their peer Section Managers. The candidate will connect Sector and Enterprise initiatives to their team. The ability to translate enterprise-level strategic objectives to actionable digital sensor engineering initiatives is essential to this role. This candidate will focus on working with the staffing and hiring leads to ensure all open program engineering needs are met and will also lead their team in hiring, on-boarding, and staffing system engineers to programs within their Execution role and across AMS.
The position will oversee other managers in this role. The candidate is expected to be someone currently in a management position. The candidate will spend ~50%- 80% of their time on programs and ~20-50% of their time on the organization.
This position requires working with each direct report to set and communicate goals, hold their employees responsible for the goals, write thoughtful and accurate performance appraisals and follow the documented performance management process. The candidate will coach and develop their employees and help them with their career development and training, responsible for keeping the team of Systems Engineers engaged and retained. This position will require the ability be **on-site** at the BWI campus.
**Basic Qualifications:**
+ Bachelor's Degree and 10 years, or Master's and 8 years' experience supporting U.S. Government contracts and customers, STEM degree in science, technology, engineering, computer science, physics, or math.
+ **Technical depth in RF Sensor Systems to guide our most senior systems architects**
+ Five years of demonstrated success in people leaderships including leading teams/and or organizations to achieve a common vision & functional tasks
+ Three years of experience leading the performance of tasks on schedule, at cost and achieving all requirements as either project lead, integrated program team or cost account manager
+ Prior experience with the development of advanced sensor systems, such as Radar systems.
+ Strong Knowledge of Systems Engineering processes
+ Secret clearance is required, with the ability to obtain higher clearances and SAP
+ US Citizenship is required.
**Preferred Qualifications:**
+ Master's degree in business management, electrical engineering, physics, computer science, or math
+ Three years of functional management or program manager experience
+ Experience managing and leading systems engineering teams.
+ Experience leading in a matrix organization.
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
This position is contingent upon the ability to obtain/maintain DoD Secret Clearance and Special Program Access (SAP) prior to starting.
**Salary Range:** $172,500 - $258,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Melbourne) Test and Evaluation Engineering Manager 32024-03-19T06:15:36-04:00https://northropgrumman.jobs/5976128A480F498FB1160F613CEFB13A26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems sector is seeking a System Integration, Test & Evaluation (T&E) Manager for the Multi-Domain Command & Control (MDC2) business unit in our Aerospace Sector, who will report to Director, SIT&E for Manned Aircraft Design and is assigned to Director, MDC2 Director of Weapon System Integration. **This leadership position is located in Melbourne, FL.**
**Roles and responsibilities:**
This position is responsible for planning, conducting and reporting Test & Evaluation of the E-2 weapon system. This includes air vehicle and complex AEW&BMC2 mission system suite testing consisting of passive and active sensors, communication, navigation/control, onboard networks and mission management software developed by subcontractors and other organizations within Northrop Grumman.
The candidate will provide leadership and management of a large team of technicians, aircrew, instrumentation, and flight test engineers (FTE) from the T&E directorate to ensure horizontal technical integration across the IPTs within MDC2. The candidate is responsible for test conduct in accordance with Sector Engineering procedures, plans staffing requirements to support execution of critical testing related materials such as ground test plans, flight test plans and test reports. The successful candidate will develop and execute a set of integrated T&E plans and technical artifacts that will enable MDC2 to meet the testing of technical performance parameters and achieve mission success.
The candidate will be responsible for program planning and execution from proposal through execution phase. They will help coordinate daily test activities across multiple sites including Melbourne, St. Augustine, and Patuxent River. They will aid in development of flight and ground test plans, scheduling, and execution of program priorities. They will lead teams across multiple management chains to execute to common goals and understand / remove roadblocks while assisting with planning multiple programs across IPTs including capture, domestic programs, international and fleet sustainment initiatives.
Contacts are frequent with individuals representing other departments, and/or representing outside organizations. Candidate will be responsible for planning, executing and statusing programs to include technical and financial performance. Candidate will work with leads across multiple sites and roles to ensure programmatic execution. Responsible for looking across the organization for efficiencies including reduction of current plans while still meeting program needs. Candidate will be responsible for earned value management.
Candidates should also have strong verbal and written communication skills, strong team building skills, and be comfortable working on large, multi-functional teams. Coordination with design teams via planning, organizing, controlling, and approval of actions designated to accomplish overall T&E integration.
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement and respond vigorously to change.
**Basic Qualifications:**
+ Must have a Bachelor of Science degree in a STEM discipline AND 9 years of related professional/military experience in Engineering, OR a Master's degree in a STEM discipline AND 7 years of related professional/military experience in Engineering.
+ Must have 3+ years of prior management/leadership experience (Includes large team experience)
+ Must have an active DoD Secret Clearance (with a background investigation completed within the last 6 years)
+ Must be able to obtain and maintain Special Program Access
**Preferred Qualifications:**
+ 5+ years Management/Lead Experience
+ DoD Top Secret clearance
+ Program Access
+ Previous E-2D experience
+ Agile methodology experience
**Salary Range:** $156,000 - $234,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Salt Lake City) Manager Programs 32024-03-19T06:15:36-04:00https://northropgrumman.jobs/9FB8E215023547FE9CB9A5B8786FA84326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking an on-site Program Manager 3. This position will be located in **Salt Lake City, Utah** and will support the Sentinel (GBSD) program for the Navigation and Cockpit Systems business unit. Learn more about the Sentinel program here.
**What you will get to do:**
The primary objective will be the delivery of all contractual requirements on cost and on schedule, while achieving all technical requirements and creating customer intimacy to support value creation strategies and actions. The individual will lead a cross-functional organization aligned to common program performance goals.
Program Managers are responsible for leading all phases of the program life cycle from inception (proposal development), program initiation, planning, execution, monitoring & control, and program closure (contract closeout). Primary responsibilities are the management of cost, schedule, and technical performance of programs or subsystems and include, but are not limited to:
+ Cultivating customer relationships and intimacy to develop further opportunities within the customer community
+ Establishing a program organization that effectively addresses customer requirements and incorporates the necessary internal and external sub-organizations
+ Leading and directing cross-functional Integrated Program Teams (IPT) to meet program cost, schedule and technical performance objectives
+ Measuring and reporting program performance
+ Participating in the negotiation of contracts, contract changes, specifications, operating budgets, schedule milestones, and key terms and conditions.
+ Identification, distribution, tracking, and completion of program requirements
+ Establishment and management of the program and subordinate baselines
+ Development and adherence to budget baselines utilizing Earned Value Management System (EVMS) control methodologies and tools
+ Participating in bi-weekly internal Program Management Reviews, Headcount Reviews, Financial Forecast reviews, and monthly EAC submittals
+ Identifying, allocating and managing program resources, including workforce planning
+ Preparation of charts and briefings on status/progress and processes; delivering presentations to customers, executive management and other program stakeholders
+ Managing Government/customer supplied property or information (GFE, CFE, etc.)
+ Managing suppliers to meet program objectives.
+ Adherence to all internal processes, policies, and applicable industry standards
+ Ensuring program team understands and adheres to contract scope, and manages change through control board activities
+ Development and adherence to master plans and schedules
+ Conducting thorough risk & opportunity management practices including identification, mitigation and realization
**Basic Qualifications:**
+ Bachelor's Degree and 10+ years experience supporting U.S. Government contracts and customers and/or program management in other industries would also meet these qualifications OR Master's Degree and 8+ years' applicable experience. An additional 4 years of experience may be substituted in lieu of Bachelor's degree.
+ Experience with Earned Value Management
+ Experience working in Manufacturing
+ Experience leading development programs
+ A current active DoD Secret clearance
**Preferred Qualifications:**
+ Master's in Business Administration
+ Project Management Professional (PMP) Certification
+ Experience with Agile Project Management
+ Active TS clearance
+ Program Management experience within the Aerospace and Defense industry
+ Program execution on a CPFF contract
+ ICBM Program Experience
**Salary Range:** $156,000 - $234,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Sentinel (GBSD) Principal / Senior Principal System Safety Engineer ( 11404)2024-03-19T06:15:35-04:00https://northropgrumman.jobs/1B5DDEEE7B494DAABD82BE2BC73085F526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking to add **System Safety Engineers** to its Safety Engineering team located in multiple locations ( **Roy, UT or Huntsville, AL** ), in support of the Ground Based Strategic Deterrent (GBSD) program.
**What you will get to do:**
The System Safety Engineer performs technical planning, system integration, verification and validation, cost and risk, and supportability and effectiveness analyses for total systems. Analyses are performed at all levels of total system product to include concept, design, fabrication, test, installation, operation, maintenance, and disposal. The candidate will ensure the logical and systematic conversion of customer or product requirements into total systems solutions that acknowledge technical, schedule, and cost constraints. This role also performs functional analysis, timeline analysis, detail trade studies, requirements allocation and interface definition studies to translate customer requirements into hardware and software specifications.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. This role may offer a competitive relocation assistance package.
**Job Qualifications:**
**You'll Bring These Qualifications:**
+ Sr. Principal 9 years of experience with a Bachelor's degree or 7 years with a Master's degree or higher in science, engineering, applied mathematics, computer science, aeronautics, safety or closely related technical discipline.
+ Principal 5 years of experience with a Bachelor's degree or 3 years with a Master's degree or higher in science, engineering, applied mathematics, computer science, aeronautics, safety or closely related technical discipline.
+ Expertise in the application of MIL-STD-882E in design development.
+ Experience in generation of required artifacts such as System Safety Program Plans, System Safety Analysis Reports and Functional Hazards Analysis Reports.
+ Extensive experience in the application of safety principles and methods to mature the weapons systems design for safety and support flight test and flight worthiness of complex systems.
+ Experience with Agile Methodologies.
+ Experience with Model-Based Systems Engineering.
+ DoD Secret clearance with a reinvestigation date in the last 5 years.
+ U.S Citizenship with the ability to obtain Special Program Access (SAP).
**These Qualifications Would be Nice to Have:**
+ Software development or coding experience.
+ Experience using DO-178 for design development.
+ Associate Safety Professional (ASP) /Certified Safety Professional (CSP) Certificate.
+ Software development or coding experience.
+ Experience with C/C++, Java, object oriented design, or similar experience.
+ Weapon System experience (Minuteman III or similar military or industry experience).
+ Model Based Systems Engineering experience.
+ Military Range Safety experience.
+ Strong written and verbal communication skills of the English language.
+ Active Top-Secret clearance with investigation in the last 6 years.
**Salary Range:** $112,000 - $168,000
**Salary Range 2:** $96,200 - $125,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-AL-Madison) Material Handler 1/22024-03-19T06:15:34-04:00https://northropgrumman.jobs/52C6EA08EBA344BE8CBB0AE2F1A8107426At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today. **This position can be filled at a level 1 or 2.**
****This position is a 2nd shift, hours are**
**3 pm to 12:30 am**
**Job Description:**
+ Loads, unloads, and conveys materials within or near plant, yard, or work site, and performs a combination of tasks under specific direction which may include shipping and/or receiving.
+ Opens containers using hand tools; counts and weighs materials and records information. Loads and unloads materials onto or from pallets, trays, racks, conveyors, and machines by hand
+ Loads or unloads materials into or from trucks by hand.
+ Conveys materials using wheelbarrow, hand truck, forklift, electric dolly, elevator, or other devices; stacks or assembles materials; aids machine operators by lifting heavy objects by hand or by use of power hoist.
+ Performs transactions in material management system to reflect movements of material.
**Basic Qualifications:**
For a level 1: High School diploma and 0 years experience
For a level 2: High school diploma and 2 years experience
• Candidate must have demonstrated proficiency operating forklifts.
• Candidate must be able to work independently to rigorous deadlines with minimal supervision
**Experience Preferred:**
2 years of related work experience or equivalent combination of education and experience.
• Experience with logistics/warehouse.
• Experience in computers and computer processes.
• Experience working in the aerospace industry.
• Experience working under the safety and security requirements of a secure government facility.
• Experience with Microsoft Office (Excel, PowerPoint, Word, Outlook)
**Salary Range:** $32,000 - $54,000
**Salary Range 2:** $39,000 - $65,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Redondo Beach) Principal Regulatory Compliance Analyst2024-03-19T06:15:34-04:00https://northropgrumman.jobs/98C807854ED742C8BF8ADDF2F61DCDF226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems** is seeking a **Principal Regulatory Compliance Analyst (Level 3)** to join our team of high performing and diverse professionals **(Telecommute available).** This position has the option to work on-site in Redondo Beach, CA or Telecommute. The selected candidate will report to the Manager, Audit Liaison & Compliance. The selected candidate will work independently and together with teammates on multiple Government Compliance activities. This is a part-time position.
Roles and Responsibilities include, but are not limited to, the following:
+ Primary Liaison to Governmental Agencies (DCAA/DCMA)
+ Interpret federal, state, and other regulations as they apply to products, financial processes, and other practices and procedures
+ Interprets policies and procedures to ensure that these are in compliance with the appropriate statutes and regulations and that regulatory reporting requirements are met
+ Investigates and resolves compliance problems, questions, or complaints received from other units of the company, customers, government regulatory agencies, etc.
+ Identify and recommend to management areas for business/process improvement, compliance, or concerns regarding company polices/procedures
+ Support ad-hoc request and special projects
+ Mandatory disclosures lead
**Basic Qualifications -** **Regulatory Compliance Analyst (Level 3)**
+ Bachelor's degree in Business Administration, Accounting, Finance, or a related discipline with 6 plus years of government contracting related experience in Auditing, Finance, Accounting, Compliance, Pricing/Cost Estimating, Program Control, or other Business Management activity
+ Master's degree in Business Administration, Accounting, Finance, or a related discipline with 4 plus years of government contracting related experience in Auditing, Finance, Accounting, Compliance, Pricing/Cost Estimating, Program Control, or other Business Management activity
+ Experience with U.S. Government regulations including Federal Acquisition Regulation (FAR) and Cost Accounting Standards (CAS)
+ Ability to work part-time hours either in Redondo Beach, CA or Telecommuting
**Preferred Qualifications**
+ Experience with SAP
+ Proficient in Microsoft Office suite, with added emphasis on Excel
+ Defense Contract Audit Agency (DCAA) audit experience
+ Aerospace/Defense Industry experience in business management functions
+ Self-motivated with a proven ability to learn quickly and work in a fast-paced team environment
+ Strong communication skills to successfully work across line and functional businesses at various levels and disciplines
Salary Range 1 $80,600.00 - $121,000.00
Salary Range 2 $88,700.00 - $133,100.00
**Salary Range:** $80,600 - $133,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Salt Lake City) Inspector 22024-03-19T06:15:34-04:00https://northropgrumman.jobs/E90C6DC95A154016A9A458DFD82FC3C026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today. Northrop Grumman Mission Systems is seeking an **Inspector 2** to join our team in **Salt Lake City, UT** .
**Roles and Responsibilities:**
+ Uses predetermined methods, operations, setups and prescribed specifications to inspect visually in-process and completed products such as electronic units and subsystems, precision electromechanical assemblies or mechanical units, subassemblies, structural flaws, internal defects, and missing welds.
+ Uses various measuring devices.
+ Accepts, rejects, or reworks defective or malfunctioning units or systems.
+ Works from blueprints, diagrams, dial indicators, preset micrometers, scales, fixtures, customer specifications, drawing or inspection instructions and checklists.
+ May monitor and verify quality in accordance with statistical process or other control procedures.
+ Performs line clearances after each lot to ensure all materials from the previous lot have been removed.
**Basic Qualifications:**
+ High School Diploma/GED with 2 years of education and/or related experience.
+ Must be able to work and communicate in a team environment.
+ Must have critical thinking and problem-solving skillset.
+ Basic knowledge of Microsoft Office.
+ Knowledgeable of using measuring devices.
+ Knowledgeable of quality management standards.
**Preferred Qualifications:**
+ Knowledgeable of AS 9100, ISO 9000, and ISO 9001.
+ Experience reading blueprints.
+ SAP experience.
**Salary Range:** $38,600 - $64,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Mgr Programs 2 - Remote Sensing Programs (Active TS/SCI Required)2024-03-19T06:15:33-04:00https://northropgrumman.jobs/1D4E434A38094F04BE6036B3E1C876C326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The **Payload and Ground Systems** organization within the **Northrop Grumman Space Systems** pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
This position will be based in **Linthicum, Maryland** and requires 100% on-site work.
**Program Managers** are responsible for leading all phases of execution, from inception (proposal development) through completion (contract closeout). Primary responsibilities will include but are not limited to:
+ Develop customer relationships and cultivate customer intimacy to develop further opportunities within the customer community
+ Leading and directing a cross-functional Integrated Program Team (IPT) to meet program cost, schedule and technical objectives
+ Develop and deliver presentations to customers, executive management, and other program stakeholders
+ Develop new business opportunities through long term strategic planning, capture planning and proposal development
+ Creation, review, and finalization of the program Statement of Work
+ Negotiation and establishment of schedule milestones, payment, and other key contract terms and conditions.
+ Adhere to all internal processes for Program Management
+ Ensure program team understands & adheres to the contract scope.
+ Develop and adhere to Integrated Master Schedules (IMS)
+ Develop and adhere to budget baselines utilizing Earned Value Management (EVM) or similar cost & schedule control methodologies and tools
+ Manage risks & opportunities including identification, mitigation and realization
+ Coordinate Contract Change Board activities internally and with the customer, to include Engineering Change Proposals (ECP), Government Property (GFE, CFE, etc.) tracking and management, addressing workforce planning needs, as needed
**Basic Qualifications:**
+ Bachelor's Degree and 7 years (or Master's degree and 5 years) experience working in engineering, business management, or another related field.
+ Experience leading the performance of tasks on schedule, at cost and achieving all requirements as either project lead, integrated program team or cost account manager.
+ Demonstrated success aligning teams, organizations, or employee resource groups to achieve a common goal.
+ Active/current TS/SCI clearance is required to be considered.
+ The ability to obtain and maintain a Polygraph.
**Preferred Qualifications:**
+ Current/Active TS/SCI clearance with a polygraph is highly preferred.
+ STEM degree highly preferred
+ Experience leading software development teams
+ Demonstrated success leading teams and/or organizations to achieve a common goal
+ The ability to apply knowledge, insights and understanding of business and financial concepts, tools, and processes to the benefit of program decisions, actions, and performance.
+ The ability to manage communications with stakeholders through organized processes to ensure that program information is defined, collected, shared, understood, stored and retrieved in a manner that effectively meets program and stakeholder needs.
+ The ability to identify and address program impacts through a systematic, proactive, approach to issue and problem resolution that identifies, communicates, monitors, and promptly resolves conflicts across all levels of the program.
**Salary Range:** $145,000 - $217,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Manager Contract Administration 32024-03-19T06:15:33-04:00https://northropgrumman.jobs/C094DF1B39AD41F987D397FC16119FA626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
Northrop Grumman Mission Systems Sector is seeking a **Manager, Contracts Administration 3** to join their team in **Linthicum, MD** who will lead our exciting Land and Maritime Sensor (LMS) Business Unit in the Maritime/ Land Systems and Sensor Division. This position is perfect for the Contracts Manger that wants a broad scope; inclusive of:
+ Major Full Rate Production
+ Cutting edge development
+ International efforts that include significant Direct Commercial Sales (DCS) and Foreign Military Sales (FMS)
The successful candidate will manage managers of unique, diverse portfolios across 3 Operating Units (OU) which include programs like Ground/Air Task-Oriented Radar (G/ATOR) System (G/ATOR), Surface Electronic Warfare Improvement Program (SEWIP), as well as logistics, sustainment, and development activities.
**This position is located in Baltimore, MD (near BWI Airport).**
**What You'll Get to Do :**
Responsibilities:
+ Review and approve contractual documents for protection of the company's contractual/risk posture, satisfaction of contractual requirements, and adherence to company policies. Therefore, a keen attention to detail is essential.
+ Planning and executing successful contract negotiations of a complex nature and achieving targeted profit rates as well as favorable terms and conditions to assist in meeting profit and cash goals.
+ Regular and proactive interface and effective collaboration with program, technical, and operations organizations in order to provide guidance and direction based upon the requirements of the resulting contracts.
+ Ability to make decisions in a timely manner, offer guidance, and work cooperatively with a multitude of organizations ranging from technical, program, finance, supply chain, legal, export, and security.
+ Ability to solve complex problems by actively seeking information and new ideas from a variety of sources.
+ Demonstrate commitment and energy to meet customer needs with the ability to provide concise and effective communication through presentation, written documentation, or email format with different levels of Management.
+ Administer, extend, negotiate, and execute standard and nonstandard contracts.
+ Conduct proposal preparation, contract negotiation, contract administration, and customer contact activities to provide for proper contract acquisition and fulfillment in accordance with company policies, legal requirements, and customer specifications.
+ Build strong customer intimacy and employee engagement to assist with growing this important business area.
**Basic Qualifications:**
+ Bachelor's Degree from an accredited institution with 10+ years of relevant experience or Master's Degree with 8+ years of relevant experience in contract administration/management. We will also consider 14 years of relevant experience in lieu of a degree.
+ Working knowledge of FAR/DFARS
+ Excellent oral and written communication skills and the ability to interface with all levels of employees and management
+ Knowledge and proficiency using Microsoft Office Suite of Applications
+ Ability to obtain U.S Government Security Clearance
**Preferred Qualifications:**
+ Knowledge of ability to use SAP
+ Proven leadership skills, interpersonal skills, and ability to mentor and train assigned staff
+ Proposal development and negotiation skills and the ability to build strong customer relations
**What We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $142,600 - $213,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-AL-Huntsville) Principal Material Management Analyst -AHT2024-03-19T06:15:33-04:00https://northropgrumman.jobs/D3E363E3D6394BB78553285E8564F48326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
IBCS seeks to fill the position of Principal Material Analyst with an individual with experience in the management and tracking of material and related purchasing activities.
**Basic Qualifications:**
+ BA + 6 years of exp: MA + 4 years of exp or additional years in lieu of a degree
+ Hands on experience with coordinating the purchasing and receiving processes for materials and services
+ Strong communication and interpersonal skills
+ Ability to work within a high stress and dynamic environment
+ Strong problem-solving skills
+ Ability to acquire and maintain a secret security clearance
The Materials Manager will work as part of a team to oversee the planning, procurement, and distribution of materials and products according to program needs and requirements. This position will include both reoccurring and one-off projects covering purchasing, shipping, receiving, and logistics functions as related to internal supply chain duties. In this role, you will work closely with various departments to monitor, track, and manage materials across the program and multiple contracts.
Supports a team-based material organization. Performs activities in a variety of cross-functional areas including materials planning, total quality management, procurement, supplier quality assurance, finance, materials systems, customer service, etc. The incumbents may facilitate self-directed work teams, provide training in core skill areas to teams and peers, and interact with internal customers and suppliers with respect to product planning, problem resolution, and process improvement. Incumbents are generally experienced in one or more areas of the material function.
The Materials Manager will coordinate with the product management team to track materials, maintain documentation, conduct research of material requirements. In addition, the materials manager is responsible for managing large amounts of data, researching products and vendor resources, obtaining quotes, submitting purchase requests, tracking status, maintaining supply chain ERP software, maintaining spreadsheets, and reporting progress. Other responsibilities include coordinating with receiving and end-users to ensure timely delivery. Duties will require you to identify and address issues, advise management, advise purchases based on needs, and provide project delivery after meeting requirements.
You will work with stakeholders including management, software engineering & development teams, procurement, finance, and contracts to evaluate requests for materials and products. You will also work with vendors and procurement to ensure material is ordered and delivered in a timely manner. The materials manager will support the team lead to report on costs and estimated deliveries.
Primary Responsibilities:
+ Perform supply chain related duties to ensure an unobstructed material flow to and from our vendors and customers.
+ Coordinate the purchasing and receiving processes for materials and services.
+ Manage vendor relationships (domestic and international) through email and phone call correspondence.
+ Collect, analyze, and report on materials for forecasting, budgeting, and cost optimization.
+ Collaborate with managers and budget owners to proactively understand current material needs and assist in planning for future requirements.
+ Work collaboratively and cross-functionally with various departments to evaluate and assess material requirements.
+ Work with other Company personnel to formulate material purchase plans.
+ Request budgetary quotes and create purchase requests into approved ERP supply chain software system.
+ Track and manage purchases, costs, status, and delivery.
+ Maintain and organize documentation.
+ Continued process improvement.
+ Invoice validation.
+ Completes Goods Receipts Receipt-in-Lieu (RILO) process, as needed.
+ Experience developing, managing, and implementing goals, plans, and complex processes.
+ Follows, manages, maintains and updates Work Instructions.
+ Follows program procedures.
+ Strong knowledge of the supply chain process and requirements.
+ Excellent critical thinking and problem-solving skills.
+ Demonstrate a proven ability to work well under pressure with tight deadlines.
+ Have extreme attention to detail and high level of accuracy with effective organizational skills.
+ Comfortable with evaluating incoming information and making decisions based on all relevant data.
+ Demonstrated experience in material planning, purchasing, and inventory management.
+ Strong organization, planning, and execution skills.
+ Advanced data analysis and reporting skills.
+ Excellent communication skills and working with teams across an organization.
+ Proficiency in Microsoft Office applications predominantly Excel and have an aptitude to learn new software applications.
+ Be a self-starter and work efficiently and effectively as an individual or in a team collaboratively with a minimum amount of supervision.
+ The ability to be successful and thrive while multi-tasking, prioritizing, and working on a variety of projects at once.
**Salary Range:** $84,000 - $127,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-AZ-Chandler) Senior Technical Advisor- On-Call Casual Employee2024-03-19T06:15:33-04:00https://northropgrumman.jobs/DE14D2BD58744C1294116BF101B8B39F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Space Systems Launch Vehicles business unit is searching for a Sr. Technical Advisor that will be located in Chandler, AZ. This position is an on-call casual employee.
As a Senior Technical Advisor, the successful candidate will demonstrate a broad skill set balanced between technical and interpersonal capabilities. They will have a deep understanding of Launch Vehicle programs and provide feedback across the portfolio. They will engage in innovative problem solving for complex problems across programs & disciplines.
The incumbent will have the ability to operate in a team environment and collaborate across the Business Unit areas as required to accomplish the team goals. The ideal candidate will have demonstrated success collaborating and developing solutions for small to large- scale programs and provide quarterly program review feedback at a minimum.
**Basic Qualifications:**
• Bachelor's degree in a Science, Technology, Engineering or Math discipline and at least 20 years of experience; or MS and 18 years; or PhD and 15 years
• Experience in Launch Vehicles technical & program environments
• Ability to comprehend and analyze complex problems and develop solutions
• Strong interpersonal skills to communicate effectively with large technical teams
• Proven collaboration in a team environment
• Ability to prioritize and adjust tasks to accomplish project results
• U.S. citizenship with the ability to obtain and maintain a Department of Defense (DoD) Secret clearance.
**Salary Range:** $1 - $1
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-IL-Rolling Meadows) Configuration Analyst2024-03-19T06:15:32-04:00https://northropgrumman.jobs/0386347340274C34A9012367419664BC26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems sector is seeking a Configuration Management Analyst to join our team and apply your experiences into a future of excellence. This position will be located in Rolling Meadows, Illinois.
The qualified applicant will become part of the Mission Systems Configuration Management team which supports multiple programs by providing program planning and management, configuration identification, configuration change management, configuration status accounting, and configuration audits.
**Roles and Responsibilities include but are not limited to:**
- Ability to understand the context of the Terms and Conditions regarding required services to be provided by Configuration Management.
- Provide direction and guidance to effectively implement Configuration Management processes.
- Generate Configuration Management Plan and manage the execution.
- Determine when re-identification shall occur.
- Maintain program baseline.
- Establish and manage Change Control Board.
- Analyze proposed changes of product design to determine Change Classification, unambiguous instructions, correct reason code, and effectivity.
- Generate and release status accounting reports for customer review.
- Coordinate and conduct Physical and Functional Configuration audits.
- Interacts with data originators, program managers, and customer representatives concerning project Configuration Management processes.
**Basic Qualifications for Configuration Analyst:**
- Bachelor's Degree from an accredited University and 3 years of experience working in a corporate manufacturing or engineering setting or MS degree from accredited University and 1 year of experience working in a corporate manufacturing or engineering setting.
- Must be computer literate and proficient with Microsoft Office Suite
- Must have a good understanding of Excel including creating reports, spreadsheets, and tables and proficient in manipulating data and formats of spreadsheets.
- Excellent communication skills with both internal and external customers.
- Detail oriented and have the ability to follow processes.
- US Citizenship and the ability to obtain a US government security clearance
**Preferred Qualifications:**
- Experience within the Defense Industry.
- Experience with configuration management.
- Current active security clearance.
- Bachelor's Degree in a STEM field.
- Working knowledge of MIL-HDBK-61 (Configuration Management Guidance)
- Working knowledge of DoD Data Item Descriptions (DIDs)
- Experience working with Contract Data Requirements Lists (CDRLs)
- AS9100 / ISO 9000 experience
**Salary Range:** $68,800 - $103,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Colorado Springs) Sr. Principal Systems Administrator2024-03-19T06:15:32-04:00https://northropgrumman.jobs/0A3289D1E1BE4D5497B022FA6FCA6B9D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems (NGDS) is the prime contractor for the Joint Tactical Ground Station (JTAGS) Pre-Planned Product Improvement (P3I) program. The JTAGS-P3I team is developing and deploying mission ground processing systems worldwide. These systems receive process and disseminate Overhead Persistent Infrared (OPIR) sensor data in support of the theater missile-warning mission.
NGDS has an opening for a Sr. Principal Systems Administrator to join our JTAGS-P3I team located in Colorado Springs, CO.
**The ideal candidate demonstrates a broad skill set between the Red Hat Enterprise Linux and Windows environments** **for a large, classified government contract .** You have the ability to collaborate within and across teams to accomplish goals. You are committed to ethics, integrity, and innovation while challenging traditional ways of doing business. You have excellent verbal and written communication skills, and you are comfortable engaging with the internal and external customers.
**Roles and Responsibilities:**
+ Perform as a Windows and Linux System Administrator for a classified government contract.
+ Maintain smooth operation of multi-user computer systems, including coordination with network, software, and system engineers, PC desktop technicians, project managers, end users, and customer and IT management.
+ Support multiple networks and ensure necessary IT administration tasks are completed.
+ Recommend and implement system enhancements that will improve the performance and reliability of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Test new releases of products to ensure compatibility and minimize user and impact.
+ Assist with developing and maintaining technical processes and procedures as needed.
+ Assist maintaining security audit and logging information on all classified networked and standalone computers as directed by the Cyber Security team.
+ Read, modify, and create shell scripts.
+ Make recommendations to purchase hardware, software, and system components.
+ Work under minimal direction and independently determine and develop approach to solutions.
**Basic Qualifications:**
+ Bachelor's degree with 9 years of experience OR Master's with 7 years of experience OR 13 years of experience in lieu of degree.
+ IAT Level II certification is required on Day 1 (Security+CE, CCNA-Security, SSCP, etc.); maintaining the required certification will be a condition of continued employment.
+ Active DoD Secret security clearance on day 1 of employment; the ability to maintain the required security clearance will be a condition of continued employment.
+ Perform as a Senior Linux Systems Administrator.
+ Experience managing Windows Server OS in a domain architecture.
+ Experience in enterprise backup and restore solutions, storage area network (SAN), and network attached storage (NAS).
**Preferred Qualifications:**
+ Ability to travel.
+ Experience building custom "rpm" software packages for RHEL platforms.
+ Read, modify, and create shell scripts.
+ Ansible, RHEL 6/7, Satellite configuration and usage experience.
+ Experience integrating LDAP in complex environments.
+ Solid understanding of remediating security vulnerabilities and experience hardening Linux systems in accordance with RMF and STIGs.
+ Experience with security compliance and related security scanning products.
+ Experience with host-based firewall implementations and configuration (RHEL/ "iptables").
+ Experience with DevOps architecture
**Salary Range:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) PC Network Support Technician 22024-03-19T06:15:32-04:00https://northropgrumman.jobs/2549D6BEA851486AB8EB5C421AFDE5EB26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Chief Information Office is seeking a well-qualified Desktop PC Technician to support third shift and weekends for a classified government program at its Clearfield, UT location..
The selected candidate will be required to work on-site, full-time at our Clearfield, UT campus . The individual will perform the following duties on a day-to-day basis in support of the program:
+ Provides technical support for computers and associated networks.
+ Installs, troubleshoots, services, and repairs personal computers, related PC software, telephones, cables, and connectors.Connects personal computers and terminals to existing data networks.Performs basic PC setups.
+ Instructs and assists users in the use of personal computers and networks.Investigates information, network, and communications needs of users, and makes recommendations regarding software and hardware purchases.Maintains trouble logs.
+ Completes paperwork and other tasks needed to satisfy Security requirements.Successfully follows all Security regulations, guidelines, and processes regarding the installation, maintenance, and retirement of PCs and PC-related hardware and software.Works with Security to remediate classified or unclassified data spillage incidents.
+ Coordinates with users, service desk, server administrators, network engineers, and customer and IT management.
+ Reviews the trouble ticket queue to identify issues that need to be addressed.Works through the ticket queue without intervening management direction (self-motivated). Resolves tickets within established SLAs. Raises issues that require management attention or involvement.
+ Establishes and maintains high levels of customer satisfaction according to defined objectives while adhering to established policies.
+ Works with system administrators and other staff to resolve support issues, escalating where appropriate.
+ Participates in new installations, testing and special projects as needed.
+ May spend portions of the day away from the desk when supporting users and moving equipment.
+ Exhibits good communication skills, an energetic approach, and patience with customers.
+ Possess a technical understanding of Information Technology Infrastructure Library (ITIL) and Information Technology Service Management (ITSM) Operations, including Service Desk, Desktop, Network, Telecommunications, Data Center, Application Support, Service Level Management, and other areas of IT Operations.
+ Resolves technical problems and answers queries by telephone in support of internal and/or outside customer computer hardware, software, network, and telecommunications systems.
+ Diagnoses, identifies, isolates and analyzes problems utilizing historical database records.
+ Route calls to product line specialists. Maintains and updates records and tracking databases.
+ Alerts management to recurring problems and patterns of problems.
+ Lift equipment weighing up to 50 pounds.
Note: Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
**Basic Qualifications:**
+ High school diploma or equivalent and 2 years of experience OR associate degree and 0 years of experience
+ Active DoD Secret clearance with the ability to obtain and maintain a Top Secret clearance as condition of continued employment
+ Ability to be cleared to special access programs as condition of continued employment
+ DOD 8570 IAT Level II (ex: Security + CE) certification
+ Experience in Microsoft Windows Desktop Operating Systems, Microsoft Office Product Suites, and remote deployment methods
**Preferred Qualifications:**
+ Bachelor of Science or other technical based degree.
+ Active DoD Top Secret clearance
+ CompTIA A+, Network+, Security+ Certifications
+ Microsoft Certifications (MCP, MCSE or MCSA)
+ Proficiency in remote administration and troubleshooting of desktop PCs, Visio, PowerShell
+ Experience with zero and/or thin client devices
+ Experience in Active Directory configuring user and computer accounts
+ Cross-platform experience (i.e., two or more: Windows, Linux, Solaris, OSX, UNIX, etc.)
+ Experience supporting VMware VDI (Virtual Desktop Infrastructure)
+ Knowledge of Windows operating systems, Active Directory administration, and LAN/WAN networking
+ Ability to work in a fast-paced environment where multiple projects are being developed and deployed simultaneously
ESCSO
**Salary Range:** $42,000 - $70,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-AL-Huntsville) Production Software Licensing Analyst -AHT2024-03-19T06:15:32-04:00https://northropgrumman.jobs/395A308ADBA94D25854B94ECDA60808726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
IBCS seeks to fill the position of Production Software Licensing Analyst with an individual experienced in the understanding, management, and tracking of software license models and associated support services.
**Basic Qualifications:**
+ BA + 6 years of exp: MA + 4 years of exp or 4 years additional of years in lieu of a degree
+ Hands on experience with tracking, purchasing, and managing software licensing models and the associated processes and services
+ Strong communication and interpersonal skills
+ Ability to work within a high stress and dynamic environment
+ Strong problem-solving skills
+ Ability to acquire and maintain a secret security clearance
The Software Licensing Analyst will work as part of a team to maintain and track licenses and maintenance support for software and ensure compliance with the licensing requirements of the manufacturer. This position will include both reoccurring and one-off projects covering purchasing, shipping, receiving, and logistics functions as related to internal supply chain duties.
In this role, you will work closely with various departments to monitor, track, and manage software licenses across the program and multiple contracts. In addition, the license manager is responsible for managing large amounts of data that can be used for software purchasing, consolidation and licensing. Other responsibilities of the software license manager include secure, central storage of license certificates and a clear definition of licensing rules. Duties will require you to identify and address issues, advise management, verify usage, advise purchases based on needs, and provide project delivery after meeting requirements. You will ensure adherence to software licensing terms and conditions, compliance policies and regulations.
The software license manager will coordinate with the product management team to maintain knowledge on all licensing changes, documentation, conduct research to assist with purchases and contract requirements, and offer end-user functional support. You will work with management, software deployment, desktop compliance, software engineering & development teams, procurement, finance, and contracts to evaluate requests for ongoing and new software and provide ongoing process improvement or license compliance and usage. You will also work with vendors and procurement to ensure material is ordered and delivered in a timely manner. The software license manager will support the team lead to report on costs and estimated deliveries. You will play a critical role in maintaining compliance, mitigating risks, and optimizing software usage, while also contributing to cost-saving initiatives.
Primary Responsibilities:
+ Define controls, processes, and procedures for software license asset management to ensure software and services are effectively and efficiently managed.
+ Monitor software usage and licenses across the designated program to ensure compliance with licensing agreements, terms and conditions, and relevant regulations.
+ Manages User Accounts, websites, and file documentation for Licensed Software and hardware support or warranties.
+ Obtains and sends license keys to users.
+ Follows program procedures.
+ Manages a repository of applications, issues Requests for Quotes, and submits appropriate Purchase Requests.
+ Perform supply chain related duties to ensure an unobstructed material flow to and from our vendors and customers.
+ Comfortable with evaluating incoming information and making decisions based on all relevant data.
+ Coordinate the purchasing and receiving processes for materials and services.
+ Manage vendor relationships (domestic and international) through email and phone call correspondence.
+ Completes Goods Receipts Receipt-in-Lieu (RILO) process, as needed.
+ Follows, manages, maintains and updates Work Instructions.
+ Establish and maintain processes for tracking software assets.
+ Importing software information and filtering the discovered data.
+ Collect, analyze, and report on software license consumption information for forecasting, budgeting, compliance, and cost optimization.
+ Identify and assess risks and issues related to software licensing non-compliance; develop strategies to mitigate these risks and ensure alignment with legal and regulatory requirements.
+ Plan, conduct, and oversee regular software license audits to verify compliance and identify potential licensing gaps or discrepancies.
+ Collaborate with system lifecycle managers and budget owners to proactively understand current software licensing needs and assist in planning for future requirements.
+ Work collaboratively and cross-functionally with various departments to evaluate, assess, and optimize software license requirements and deployments.
+ Analyze software usage to determine potential efficiencies and cost saving opportunities.
+ Invoice validation.
+ Experience developing, managing, and implementing goals, plans, and complex processes.
+ Excellent critical thinking and problem-solving skills.
+ Demonstrate a proven ability to work well under pressure with tight deadlines.
+ Excellent attention to detail and focus on delivering high quality work.
+ Strong organization, planning, and execution skills.
+ Advanced data analysis and reporting skills.
+ Excellent communication skills and working with teams across an organization.
+ Proficiency in Microsoft Office applications predominantly Excel and have an aptitude to learn new software applications.
+ Be a self-starter and work efficiently and effectively as an individual or in a team collaboratively with a minimum amount of supervision.
+ The ability to be successful and thrive while multi-tasking, prioritizing, and working on a variety of projects at once.
**Salary Range:** $84,000 - $127,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Director Business Development 1 - Airborne Multifunction Sensors (AMS)2024-03-19T06:15:32-04:00https://northropgrumman.jobs/FA09A079D0E1495786A0E7F3340894A826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is looking for a leader to support the Airborne Multifunction Sensors (AMS) Division's business and strategy objectives. The position will combine strategy, business development, customer engagement, execution, policy and technology leadership towards meeting business outcomes.
The successful candidate will lead strategy, execution, and capture activities. They will understand mission areas, key gaps, and work across functions to develop winning solutions. They will effectively interface and cultivate strategic customer/ partner relationships with primes, suppliers, and the government offices. Key artifacts include communication plans, market assessment, customer & competitive assessment, win strategies, product development, and risk/opportunity assessment with a strong emphasis on capture strategy and execution. The role requires the ability to identify, prioritize and guide key internal and customer funded research and development (R&D) to successfully create programs to meet AMS awards and sales objectives. The role requires knowledge of both domestic and international business policies and procedures.
Primary responsibilities will include but are not limited to:
+ Long range strategic planning
+ Strategy development and transition to action
+ Capture planning and business acquisition process execution
+ Customer interaction & management
+ Mission context, including the ability to articulate mission needs and gaps
+ Articulate product knowledge & mission utility
+ Full product lifecycle understanding
+ Financial Acumen: Ability to understand appropriations cycle, budgets, and translate to creating program opportunities.
+ Sensor improvement and derivative identification
+ Business and technology transition opportunity identification and validation
+ Program management (internal and contract R&D)
+ People leadership of strategy and mission solutions group/ team
Basic Qualifications:
+ Bachelor's degree and minimum of 12 years of related experience in technical, business development and analysis, business management or military operational disciplines; OR master's degree and 10 years of related experience in technical, business development and analysis, business management or military operational disciplines
+ Technical and USAF/USMC/USN/Army customer knowledge in airborne radar, infrared, fire control systems, electronic warfare, threat systems, datalinks, identification systems, defensive systems, weapons, operating modes and their CONEMP/CONOPS.
+ Experience leading the performance of tasks on schedule, at cost and achieving all requirements as either project lead, integrated program team or cost account manager.
+ Demonstrated success aligning teams, organizations, or employee resource groups to achieve a common goal and developing deep customer relationships through understanding and trust.
+ Excellent written and oral presentation skills including the ability to generate written material to communicate to customers at all levels, including senior executives.
+ U.S citizen and active US DoD Secret clearance OR DoE equivalent (in scope) and the ability to qualify for access to Special Access Programs (SAPs). ****Required to be Considered****
Preferred Qualifications:
+ Active US DoD Top Secret clearance, SAP, and SCI clearances.
+ Master's degree
+ Programmatic and technical understanding of advanced airborne radar system architectures, infrared targeting systems, EW systems requirements, applications and avionics integration.
+ Deep understanding of adversary weapon systems capabilities, weaknesses, timelines and their CONEMP/CONOPS.
+ Deep understanding of DoD PPBE, Congressional budgeting, and DoD acquisition processes to include Other Transaction Authority (OTA) processes.
+ Ability to apply entrepreneurial approaches to expand aerospace systems markets.
+ Ability to integrate multiple advanced technologies into mission level capabilities.
**Salary Range:** $181,900 - $272,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Sentinel (GBSD) Systems Operations and Integration Manager 2 - 118832024-03-19T06:15:31-04:00https://northropgrumman.jobs/5277BF1DF6BC411080CEC4CC4FD523B426At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **Systems Operations and Integration Manager 2** to join the Strategic Deterrent Systems Division. This position will be located in **Roy, Utah** or **Manhattan Beach, CA** and will support the Sentinel program.
This role may offer a competitive relocation assistance package.
In this position, the Manager of Operations and Integration for the Systems Engineering Homeroom will support an experienced and diverse team responsible for onboarding talent, defining processes and procedures and standing up and maintaining tools necessary for the members of the organization to perform against Department requirements. As this work spans across a diverse set of stakeholders, this leader will be expected to collaborate effectively and partner across organizations -- Human Resources, Communications, Site Operations, and Program Leadership - to ensure day-to-day functions of the organization execute.
The successful candidate will join the SDS Division Engineering Homeroom supporting day-to-day operation of the SDS Division System Management & Assessment Directorate. This role requires a proactive individual willing to work in a fast-paced and dynamic environment. A good team focus and willingness to multi-task is important in this role.
**Key responsibilities will include:**
+ Provides horizontal integration across the Division and functional Systems teams
+ Coordinates with the Division Homeroom, for identifying needs and coordination on task closure (Agile).
+ Acts with a sense of urgency and accountability; takes ownership of tasks and strategic direction
+ Builds relationships, effectively listens, communicates to influence, and ensures accountability across a network of stakeholders
+ Provides general management of budget/forecast across multiple teams and funding sources
+ Collaborates the Long Range Strategic Plan (LRSP) portfolio with the functional managers including budgets planning, monitoring progress and status reporting
+ Navigates ambiguity and develops a systemic approach and strategy to address and solve problems
+ Prepares charts, briefings and metrics as needed to provide the Director with visualization material necessary to understand the health of the organization and status of tasking
+ Provides technical interface with SDS Division Integration for rotations, onboarding and internships
+ Updates project database information with multiple input sources
+ Coordinates SharePoint database system changes with admins and developer
+ Lead Systems CoP calendars and communications across sites
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
- Medical, Dental & Vision coverage
- 401k
- Educational Assistance
- Life Insurance
- Employee Assistance Programs & Work/Life Solutions
- Paid Time Off
- Health & Wellness Resources
- Employee Discounts
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Job Qualifications:**
**You'll Bring These Qualifications:**
+ Bachelor's degree in STEM or related field with at least 6 years of related experience
+ At least 1 years' experience leading a project and/or driving performance against schedule
+ Previous technical experience with concentration in systems applications, technical project management, or operations of systems and technologies
+ Must have the ability to obtain and maintain a DoD Secret clearance
+ At least 1 year experience with Agile processes
+ Experience with processes improvement and driving the cadence of the team's execution
+ Experience in Jira, Confluence, MS Word, Excel, and PowerPoint.
+ Ability to travel 10% of time
**These Qualifications Would be Nice to Have:**
+ Current and active Secret security clearance or higher
+ Detailed and team oriented with demonstrated leadership and organization skills.
+ Proven ability to help solve problems or conflicts within teams
+ Master's in Business or technical field
+ Demonstrated experience in:
+ Depth and breadth of experience with defense products and services, including understanding of DoD weapons programs.
+ Collaborating across organizations to bring forward a consolidated objective and measure performance
+ Leading through a program or project start-up and effectively rolling out and managing change
+ Leading within an Agile environment (e.g., Product Owner, Scrum Master)
+ Familiarity with Scaled Agile Framework (SAFe)
\#GBSDLeadership
**Salary Range:** $119,300 - $178,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Principal Mechanical Design Engineer2024-03-19T06:15:31-04:00https://northropgrumman.jobs/93566292D04A415EAE8CD53F4C88D7AB26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a **Principal Mechanical Design Engineer** to join our team of qualified, diverse individuals. This position will be located onsite in **Linthicum, Maryland.**
This Mechanical Design Engineer will participate in the design and development of cutting-edge electro-mechanical hardware for Northrop Grumman's AESA radar, ESM, and RF communications systems. Some example AESA products can be viewed at this website:
https://www.northropgrumman.com/what-we-do/air/active-electronically-scanned-array-aesa-radars/
**Roles and Responsibilities include:**
+ Designing, prototyping and supporting production of precision electro-mechanical components and assemblies, including chassis enclosures, circuit card assemblies (CCAs), cables and interconnects, heat exchangers and cooling systems, structures, RF radiators, radomes, test fixtures, etc.
+ Collaborating effectively within a cross-functional Integrated Product Team (IPT), that also includes electrical engineering, systems engineering, thermal analysis, structural analysis, drafting, manufacturing and supply chain management.
+ Organizing and prioritizing tasks in order to accomplish project milestones within schedule and budgetary constraints.
+ Providing technical leadership and mentoring to less experienced personnel.
**Basic Qualifications:**
+ Bachelor of Science in Mechanical Engineering or closely related field and 5 years of relevant experience; or, Master of Science in Mechanical Engineering or closely related field and 3 years of relevant experience, or PhD and 0 years
+ Experience with design and development of precision electro-mechanical components and assemblies
+ Proficient with Siemens NX or similar 3D CAD software
+ Proficient with ASME Y14.5 geometric dimensioning and tolerancing (GD&T) and ASME Y14.100 engineering drawing practices
+ Strong oral and written communication, attention to detail, self-motivated, organized, innovative thinking skills
+ "Team player", who is able to collaborate effectively as a member of a cross-functional design team
+ United States Citizenship
+ Ability to obtain and maintain a DoD Secret Clearance or higher
+ Able to work onsite at Northrop Grumman facility in Linthicum, Maryland
**Preferred Qualifications:**
+ Aerospace & Defense electronics industry experience
+ Product lifecycle support experience, spanning concept development through production
+ Experience with organic PCB / CCA design, including materials, design processes & tools, and manufacturing processes
+ Working knowledge of military and commercial specifications applicable to electro-mechanical hardware
+ Familiar with principles of RF, digital, and power circuitry and components
+ Familiar with principles of AESA technology, Radar and RF Communications
+ Familiar with thermal and structural analysis methodologies and software tools
+ Hands-on experience with assembly and testing of prototype electronics hardware
+ Experience in a technical leadership role on a cross-functional product development team
+ Active DoD Secret or higher security clearance
This position is contingent upon the ability to obtain and maintain an active DoD Secret Clearance or the transferal of an active DoD Secret Clearance.
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:**
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.
**Salary Range:** $93,000 - $139,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Aurora) Sr Staff Engineer Systems2024-03-19T06:15:29-04:00https://northropgrumman.jobs/4E858C31630B428CB9C47035C65EB14F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
Northrop Grumman Space Systems is looking for you to join us as Deputy Chief Engineer located in **Aurora, CO.** This role will serve as the definitive technical authority for all aspects of engineering on all program elements (systems engineering/hardware/software/support/test) and leads a multi-disciplined engineering team managing the technical performance of the program meeting cost and schedule requirements including subcontracted elements.
+ Coordinates requirements development, hardware/software design, verification, and validation activities.
+ Ensures that the program is adhering to sound engineering processes in the development, production, test, and sustainment of products for our customers and is in compliance with company policies, procedures, and quality standards.
+ Maintains cognizance over technical activities within program Mission and Product Areas and ensures horizontal integration of the best engineering practices.
+ Ensures the execution of program statement of work.
+ Regularly takes a leadership role in providing insight and knowledge transfer to others on internal and external technical solutions to solve complex problems.
+ Serve as the technical authority for the program, to include chairing the Technical Review Board or Deployment/Production
+ Checkpoint on Lean-Agile programs.
+ Demonstrated ability to formally present technical solutions to senior leadership.
+ Be the technical liaison to the program manager.
+ Support the program manager in risk and opportunity management by identifying and owning risks associated with system performance.
+ Provide oversight for system architecture and design development and supporting architecture roles.
+ Provides technical leadership, direction and mentoring to other technical employees in the application of systems engineering principles, tools, and techniques to achieve practical end results.
+ Develops advanced technological ideas and guides their development into a final product that has a direct impact on the Program and Operating Unit.
+ Known as an influencer of change.
+ Advocate and lead efforts in Digital Transformation initiatives.
+ Be the technical point of contact to the customer for the Program of Record.
**Basic Qualifications:**
+ Bachelor of Science degree in a STEM (Science, Technology, Engineering and Math) discipline and 14 years of related experience; 12 years with master's degree; 9 years with PhD
+ Minimum of 8 years of experience formally leading engineering teams/and or organizations to achieve a common goal.
+ Must have US Citizenship with an Active DOD Top Secret clearance granted with SCI eligibility, current TS/SCI or re-investigated within last 6 years.
+ Experience working with the U.S. Navy or related programs.
+ Experience with command and control supporting mission objectives.
+ Be able and willing to travel up to 25% as a part of the role.
+ Must have familiarity with leading large technical proposals and the ability to lead the customer through reviews of technical performance.
**Preferred Qualifications:**
+ Experience integrating multiple engineering specialties and stakeholders across multiple Teams and Suppliers
+ Experience leading design, production, and integration of complex systems
+ Model-Based Systems Engineering (MBSE) experience
+ Experience leading the performance of technical tasks on schedule, at cost and achieving all requirements as either a chief engineer or technical lead.
+ Demonstrated effectiveness in achieving results through managing people and understanding and management of internal processes and customer/supplier relationships.
+ Strong understanding and broad application of complex engineering systems (engineering standards, configuration management, material review board, process control, tooling, facilities, software, etc.)
+ Strong oral and written communication skills, including demonstrated experience with high value customer presentations, with ability to focus on multiple projects with competing priorities.
+ Ability to collaborate and achieve consensus when dealing with groups from diverse organizations and backgrounds.
+ Demonstrated experience in making sound decisions in uncertain and difficult situations.
+ Strong sense of urgency and work ethic in ensuring engineering meets customer and program expectations in a timely and efficient manner.
+ Demonstrated experience with industrial or government construction projects.
+ Knowledge of space, navy and marine operations
+ Background in Software Development and familiarity with Agile development
+ Breadth of technical background (all domain physical, security, phenomenology)
**Salary Range:** $174,800 - $262,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) Associate Engineer Mission Assurance2024-03-19T06:15:29-04:00https://northropgrumman.jobs/8C17F649B31A40859902EC28EEFB595626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Description:**
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems (NGAS) is looking for you to join our growing team as an Associate Quality Engineer. The Mission Assurance team monitors mission assurance program specifications and processes to ensure the mission success of the program and works with the various teams to promote continuous MRB reduction and process improvements are implemented. In addition, we assess program performance and risks to contractual requirements and help to determine resources and activities to ensure compliance.
Our team is exceptionally detail oriented. We work on sophisticated projects in the aerospace industry, producing high-quality work. Each team member has individual assignments, but we work as a united team to identify and resolve potential issues before timelines are impacted. Our team pursues inclusivity, diversity, and balance in both workload and work-life. We feel strongly about treating each other with respect and working with integrity.
Do you enjoy solving problems? Come join our team!
**Essential Functions / Responsibilities:**
+ Develop and implement program quality inspection plans,
+ Work with the Engineering team to ensure that part requirements are correctly described and documented in the production work plans.
+ Participate with corrective action or improvement teams and promote the use of data to assist in the improvement effort.
+ Preparation of first article inspection packages for internal approval or presentation to the final customer.
+ Processing of MRB nonconformances
+ Supports the Program, Operations, Engineering, and Quality teams with data analytics for improvement processes.
+ Conduct or support internal audits, process observations, and area assessments.
+ Interfaces with manufacturing, engineering, customer, vendor, and subcontractor representatives to ensure requirements are met.
**Basic Qualifications:**
Associate Engineer Mission Assurance
+ Bachelor's Degree in an engineering discipline (mechanical engineering, chemical engineering, aerospace engineering, etc.) and no years of experience
+ Experience conveying technical information (both written and verbal)
+ Ability to obtain and maintain DoD Secret Clearance and special program access when required
+ Must be willing to support other shifts as needed
**Preferred Qualifications:**
+ Prior aerospace, manufacturing and/ or quality experience
+ Knowledge of Root Cause and Corrective Action methods
+ Knowledge of continuous improvement principles
+ Knowledge of data analysis methods and tools.
+ Experience with M.S. Word, Excel, and PowerPoint
+ Active DOD Secret Security Clearance was last investigated within 5 1/2 years, and the ability to obtain special program access.
**Salary Range:** $62,200 - $93,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Corinne) Engineering Technician 2/32024-03-19T06:15:29-04:00https://northropgrumman.jobs/9948F1C29F134C7C8B736BA1499A2F7326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Propulsion Systems is looking for an **Engineering Technician 2/3** to support Promontory Testing Operations at our **Promontory, Utah** plant. The plant is located near Brigham City, Utah.
**Job Description:**
Successful candidates will perform technical setup, assembly, fabrication and configuration of test articles in support of multiple programs. Skills needed include knowledge and or experience in any of the following areas: hydraulics, pneumatics, standard shop skills, vibration, environmental, instrumentation fabrication and installation, instrument room setup, trouble shooting of electronic and electrical equipment, operation of specialized testing equipment and tooling, handling operations, crane and forklift operations, and safe use of various chemicals, cleaners and bonding compounds. Implementation of continuous improvement using 5S and PES principles. Job function requires use of standard computer skills.
**Requirements:**
• Must be able to qualify for an Alcohol Tobacco and Firearm (ATF) "Explosives Possessor" designation.
• Must be able to qualify for a Department of Defense security clearance.
• Must be disciplined to follow detailed instructions and comply with procedures.
• Excellent written and oral communication skills to create clear and understandable documentation
• Computer skills in Word, Excel, PowerPoint, and database applications
**Education/Certifications for Engineering Tech 2:**
• Associate degree in a technical field or at least 2 years of related technical experience in lieu of a degree
**Education/Certifications for Engineering Tech 3:**
• Associate degree in a technical field or at least 4 years of related technical experience in lieu of a degree
**Salary Range:** $44,800 - $74,600
**Salary Range 2:** $53,300 - $88,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) System Test Engineer2024-03-19T06:15:29-04:00https://northropgrumman.jobs/9B144F75C21B46079CCBB52794BD274926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems has an opening for a S** **ystems Test Engineer** **to join our team of qualified, diverse individuals within our Test and Evaluation organization.**
The position will be in Palmdale.
+ The candidate will develop and publish Integrated Test Plans, detailed test plans, test schedules, and test procedures for developmental testing.
+ Provide laboratory support for integration testing.
+ Support and host test planning working groups.
+ Interface with test article design and analysis teams to define test configuration and data requirements.
+ Review and evaluate test requirements to ensure completeness of test program.
+ Perform technical analysis of complete systems and prepares comprehensive system level evaluations.
+ Support test card development and review in collaboration with other engineering disciplines and test operations personnel.
+ Support test readiness reviews, and pre/post-test briefings.
+ Provide mission control room support and makes real-time decisions regarding safety, efficiency, and effectiveness.
+ Conduct and contributes to pre and post-test data analyses to support anomaly identification and resolution. Maintains metrics on status of testing and constraints.
+ This position requires outstanding written and oral communications skills. Individual will be required to serve as either a principal subject matter focal point between maintenance, design engineering, and test site team - contractor and customer.
+ Individual must be able to work under only general direction to determine and develop approaches to solutions; must be able to work both within a team environment and independently; must be self-motivated, thorough, and detailed; and must be willing to learn additional engineering discipline areas.
+ Proficient in Microsoft Office and Integrated Analysis & Data System (IADS)
+ Overtime, odd shifts, and weekend work will occasionally be required.
**Selected Candidate must be able to work multiple shifts: 4x10 schedule (Mon-Thurs) 1st shift; 4X10 (Mon-Thurs) 2nd shift; 3x10 schedule (Fri, Sa, Su) 2nd shift. 3x10 schedule (Fri, Sa, Su) 1st shift.**
**Basic Qualifications:**
**Basic Qualifications for S** **ystems Test Engineer** **:**
+ A bachelor's in science, Technology, Engineering or Math (STEM Degree).
+ A minimum of 2 years of applicable experience with a BS Degree or 0 years with a MS degree in STEM Field
+ Must have the ability to obtain and maintain DoD Secret Clearance
+ Must have the ability to obtain and maintain Special Program Access
+ Must be able to support Overtime, odd shifts, and weekend work as required to meet business needs
**Preferred Qualifications:**
+ In depth knowledge of or test experience, to include control room monitoring.
+ Top Secret clearance
**Salary Range:** $79,300 - $118,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(AUS-Pinkenba) Deep Maintenance Lead2024-03-19T06:15:28-04:00https://northropgrumman.jobs/339C196999944803B683000964A7B98226At Northrop Grumman Australia, we're committed to safeguarding Australia's future by solving our customers' most challenging problems across Space, Aeronautics and Mission Systems. Part of an industry leading business with over 85,000 employees around the world, we're utilising that depth and expertise to define what is possible -delivering world-class defence capabilities for Australia. And world-class careers for our people.
Northrop Grumman Australia provides the Royal Australian Air Force (RAAF) with through-life support for its fleet of KC-30A Multi-role Tanker Transport (MRTT) aircraft-a derivative of the Airbus A330-200. The platform is a trusted and proven asset and the program is continually growing in capability and expertise.
**Your Role**
Due to our continued growth, we are seeking an experienced maintenance leader to deliver Deep Maintenance events to schedule and budget, including pre-planning for events, understanding of technical regulations and the responsibility for manpower resources.
Along with support to the broader KC-30A Enterprise, you will be responsible for the governance and surveillance activities along with reporting to HALSPO and 86 Wing around aircraft availability, Maintenance timeline and Mission Success Metrix in line with the Through Life Support Contract.
We are looking to you to make effective and informed decisions, provide guidance and mentorship across the enterprise and support the Line and Base Maintenance Manager in ensuring we meet our key objectives.
You will therefore need both passion and drive for the Aviation and Aerospace industry along with a dedication to continued personal and professional growth. With a focus on quality, outcomes are key here so we are looking for a high level of emotional intelligence combined with an engaging approach to collaborating with both internal and external stakeholders.
**What we Offer:**
Whatever your role, life stage or background, you can shape your career here in your way with highly challenging work, great colleagues and career development, plus a range of flexible benefits and working patterns.
+ Professional Development -further education, leadership development, professional industry memberships and unlimited access to a range of online training
+ Options for flexible & hybrid work including 9 day fortnight
+ Purchased annual leave
+ Salary packaging including novated car leases
+ Generous paid parental leave
+ Volunteer Leave
+ Health & Wellbeing program
+ Employee Assistance Program
+ Employee Discount Program.
Defining Possible is our mantra and we are committed to making sure that works for our people's careers too. What's Your Possible?
**Everyone Matters:**
Doing the Right Thing and Sharing Success are two values underpinning how we behave at Northrop Grumman. Here, everyone matters and we proudly encourage individuals from all backgrounds and all abilities to apply to join our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.
As a Defence security clearance is required for this role, applicants must be Australian Citizens. International Traffic in Arms Regulations (ITAR) are applicable, as such your nationality may be a factor in determining your suitability for this role. You will need to satisfy pre-employment drug & alcohol testing, police checks and employment screening verification.
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.(USA-FL-Melbourne) Subcontracts GSC Manager 12024-03-19T06:15:28-04:00https://northropgrumman.jobs/67514AD83C104A4BB92037BE3617494B26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman **Aerospace Systems** has an opening for a **Subcontracts Administration GSC Manager 1** to join our team of qualified and diverse individuals within our **Global Supply Chain Subcontracts** organization. This leadership role is located **onsite** in **Melbourne, Florida,**
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement and respond vigorously to change.
We offer 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
**Responsibilities:**
+ Lead a team of subcontract specialists to execute a broad scope of supplier performance and relationship management activities (solicitations, price & terms/conditions negotiations, purchase order awards, performance/delivery management, financial forecasting)
+ Set the strategy for your team's work scope (including long term pricing agreements, master repair agreements, etc.)
+ Provide day-to-day guidance and oversight to the team in order to produce desired results (purchase order placement, purchase order compliance, affordability, on-time delivery)
+ Responsible for staffing and retaining talent, performing annual performance reviews, and other human resource activities
+ Effectively and efficiently communicate with greater GSC community, subject matter experts and executive leadership
+ Provide input to Compliance on associated Work Instructions and processes
**Basic Qualifications:**
+ Must have a Bachelor's Degree with 4-years, or a Master's Degree with 2 years of experience in any of the following: Subcontracts Management, Procurement, Program Management, or Contracts Management
+ Must have FAR/DFARS regulated prime contract experience
+ Must have SAP or similar ERP system experience
+ At minimum, an active DoD Secret level clearance is required to apply and to start the role, with the ability to obtain and maintain Special Program Access within a reasonable amount of time as determined by the Company to meet its business needs
**Preferred Qualifications**
+ Master's Degree in Business, Global Supply Chain or Project Management
+ Experience working on high dollar Subcontracts (i.e., $100M Cost Reimbursable)
+ Negotiating skills with contracts in excess of $100 million
+ Experience conducting presentations to senior leadership
+ Previous Team Lead or Management experience
+ Knowledge of Earned Value Management System (EVMS)
+ Previous Control Account Manager (CAM) knowledge or training
+ Working Level Knowledge of Business Law
+ Risk mitigation experience
+ Change Management experience
+ In-Scope DOD Top Secret Security Clearance or higher that has been granted or renewed within the past 6 years
**Salary Range:** $99,400 - $149,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Redondo Beach) Sr Principal Supply Chain Subcontract Specialist2024-03-19T06:15:28-04:00https://northropgrumman.jobs/6D86DDFDD2944BDEB6DC1CBAA5480D2E26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Sr. Principal Subcontract Specialis** t to join our team of qualified, diverse individuals. This position will be **onsite** in Redondo Beach, California.
Source and procure complex, specialized goods and services. Owns contractual management for the supplier. Responsible for subcontract sourcing, proposal evaluation, negotiation, award, execution, administration and closeout phases. Coordinates and collaborates with key stakeholders such as legal, contracts, finance, planning, operations, program management, engineering, and quality. As part of a cross-functional team, candidate will monitor and manage schedule, cost, technical and quality performance of suppliers in support of US Government programs. Subcontract Specialists will interface with supplier leadership teams to motivate and drive excellence in performance of contractual requirements.
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Responsible for providing subcontracting process and compliance requirements guidance to junior Subcontract Specialists, Supplier Management Teams and Integrated Product Team Leads. Provides subject matter expertise in all aspects of supplier and subcontract management. Works under general direction toward long-range goals and objectives. Assignments are often self-initiated. Performs independently with minimal management oversight.
**Essential Functions:**
+ Responsible for subcontract sourcing, proposal evaluation, negotiation, award, execution, administration, and closeout phases
+ Assesses supplier performance and regularly communicates feedback to Supplier Leadership
+ Manages supplier performance throughout the subcontracting lifecycle
+ Ensures compliance with all FAR, DFARS and public law requirements, as well as company policies and procedures
+ Identifies strategies and opportunities to reduce cost, improve efficiency and manage risk through strategic and targeted negotiations
+ Cultivates and maintains strong working relationships with suppliers, customers, and internal stakeholders.
+ Contributes to Program Risk and Opportunity management
+ Utilizes process tools such as Lean Six Sigma with suppliers to drive quality, efficiency, and continuous improvement.
+ The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, and collaborative team settings across all levels.
**Basic Qualifications:**
+ Must have a Bachelor's Degree with at least 9 years of experience in supply chain management, project management, sustainment, proposals, procurement, resource planning , business management or accounting, OR a Master's Degree with at least 7 years of experience in supply chain management, project management, sustainment, proposals, procurement, resource planning, business management or accounting OR High School Diploma/Equivalent with at least 13 years of experience in supply chain management, project management, sustainment, proposals, procurement, resource planning or accounting
+ Must have experience in subcontracts management, contracts management,proposal price/cost analysis, procurement, program management, advanced planning/MRP, and/or strategic sourcing.
+ Must have experience with SAP or related business application software.
+ Must have experience with FAR and DFARS experience.
+ Ability to obtain and maintain a DoD Secret Clearance and Program Access within a reasonable amount of time as determined by the business.
**Preferred Qualifications:**
+ Working knowledge of FAR and DFARS regulations.
+ Supplier selection/management experience on US Government contract(s).
+ Subcontracting experience with aircraft production and/or sustainment programs.
+ Experience working within a government approved purchasing system.
+ Experience working in foreign subcontracts and/or ITAR/EAR experience.
+ Familiarity with NGAS Subcontracting terms and conditions.
+ Active DOD Secret/Top Secret Clearance
**Salary Range:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Corinne) Operations Manager 22024-03-19T06:15:28-04:00https://northropgrumman.jobs/A8731F17C66142368DA6A5AFA895C6B826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman, Propulsion Systems, is currently seeking **Operations Managers (Level 2)** to lead the Final Assembly area for **the Promontory Value Stream** **.**
**Job description:**
As a Propulsion Systems Operations Manager, you will lead a team of highly skilled OTS (Operations Team Supervisors) and manufacturing technicians to safely provide a quality product on-time and on-cost for various programs. Additionally, you will be responsible for the development of personnel, cross-training, staffing and managing resources to meet production schedules.
**Responsibilities:**
+ Lead a team of highly skilled OTS and manufacturing technicians to safely provide a quality product on-time and on-cost for various programs
+ Maintain a safe work environment (personal and professional)
+ Ensure facilities, tooling, planning, materials, and people are available to complete assigned workloads
+ Execute day-to-day operations to complete daily production goals in support of business requirements
+ Initiate Maintenance Work Orders, Tool Discrepancy Reports, Tooling Change Notices and Facilities Engineering Orders, and track to completion
+ Ensure training (e.g., procedures, quality documents, safety, etc.) is complete and effective
+ Lead/participate in continuous improvement activities
+ Responsible for performance management and corrective action
+ Administer and implement corrective actions for mishaps, quality issues, FOD, etc.
+ Lead/participate in root cause and corrective action analysis, implementation, effectiveness, etc.
+ Utilize performance management and succession planning tools to develop team members
**Experience Requirements:**
+ Ability to read and interpret engineering (e.g., drawings, 3-D models, specifications) and operating procedures
+ Problem solving skills and ability to apply PES principles to improve process flow and standardization
+ Willingness to work flexible and changing shift schedules; day or night shifts, including weekends, if necessary
+ Successful history of managing personnel in high energy assignments while promoting a safe and productive environment
+ Proven leadership skills and experience with PES improvements
+ Strong interpersonal skills, both written and verbal
+ Demonstrated use of manufacturing performance measurement tools
+ Computer skills: Excel, Access, Power Point, Word
**Preferred Education & Experience**
+ Bachelor's degree with a minimum of 5 years of relevant management experience, or an Associate's degree with a minimum of 7 years of relevant management experience. Additional years of related experience may be considered in lieu of the degree requirement.
+ Ability to obtain/maintain a U.S. Department of Defense (DoD) Secret security clearance.
**Salary Range:** $108,400 - $162,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-McLean) Industrial Security Analyst/Principal Industrial Security Analyst 2/32024-03-19T06:15:28-04:00https://northropgrumman.jobs/C9E47AC4ED654061BED531CEF99D2A0626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Mission Systems (NGMS) is looking for you to join our team as an Industrial Security Analyst/ Principal Industrial Security Analyst 2/3 in Mclean VA.** We offer flexible work arrangements, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly inclusive environment! We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
+ Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders, and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees! Does this sound like what you're looking for?
+ Develops, and administers security programs and procedures for classified or proprietary materials, documents, and equipment.
+ Studies and implements federal security regulations that apply to company operations.
+ Obtains rulings, interpretations, and acceptable deviations for compliance with regulations from government agencies.
+ Prepares manuals outlining regulations, and establishes procedures for handling, storing, and keeping records, and for granting personnel and visitors access to restricted records and materials.
+ Conducts security education classes and security audits. Investigates security violations and prepares reports specifying preventive action to be taken.
**This position may be filled at either a level 2 or level 3.**
**Basic Qualifications for a Level 2:**
+ Active Top Secret/SCI Clearance with Poly.
+ 3 Years of Industrial Security or Contractor Program Security Officer or related experience with Bachelors; OR 1 years with Masters; An additional 4 years of applicable work experience may be substituted for bachelor's degree.
**Basic Qualifications for a level 3:**
+ Active Top Secret/SCI Clearance with poly.
+ 6 Years of Industrial Security or Contractor Program Security Officer or related experience with Bachelors; OR 4 years with Masters; An additional 4 years of applicable work experience may be substituted for bachelor's degree.
**Preferred Qualifications:**
+ Ability to work 10am- 6pm M-F
+ CPSO certification
+ FSO certification
**Salary Range:** $72,100 - $108,100
**Salary Range 2:** $88,700 - $133,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Northridge) Principal Contract Administrator/ Sr. Principal Contract Administrator2024-03-19T06:15:25-04:00https://northropgrumman.jobs/A9C48A33E8CE4B1893D83FD410BE159E26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Northrop Grumman Defense Systems is looking for a Principal Contract Administrator (Level 3) / Sr. Principal Contract Administrator (Level 4) with experience in Contract Administration to join our Advanced Weapons Operating Unit in **Northridge, CA** . **This will require the candidate to work on-site at our Northrop Grumman Northridge facility (No Full Time Telework is available for this position).**
This position will require excellent oral and written communication skills and will represent the organization as a focal point on contracts projects. The Principal Contract Administrator (Level 3) / Sr. Principal Contract Administrator (Level 4) will be responsible for direct interaction with senior internal and external personnel on significant matters often requiring coordination between organizations and may develop and deliver presentations. The Principal Contract Administrator (Level 3) / Sr. Principal Contract Administrator (Level 4) will be responsible for direct handling of proposal and contract activities.
Candidate will be considered an expert in the field within the organization and must demonstrate the skill and ability to perform complex tasks. As most assignments are complex, the position will require the candidate to work independently without appreciable direction.
**Responsibilities:**
+ Administer, extend, negotiate, and terminate standard and nonstandard contracts.
+ Provide advice to management of contractual rights and obligations, compile and analyze data, and maintain historical information as well as be self-motivated.
+ Have the ability to handle urgent situations from Northrop Grumman leadership and U.S. Government officials.
+ Participate in proposal preparation (review, analysis, interpretation & contractual advice on terms & conditions), contract negotiations, contract administration, review and approval of contractual documentation to protect the company and provide for proper contract acquisition in accordance with the terms and conditions of the contract.
+ Provide contractual advice in accordance with company policies and procedures, and interface with both internal and external customers to ensure proper contract execution.
+ Serve as consultant to management and special external spokesperson for the organization on major matters pertaining to its policies, plans, and objectives. Acts as an advisor to senior management and customers.
+ Ensures timely and compliant deliverables for all contracts assigned.
**This requisition may be filled at a higher grade based on qualifications listed below.**
**Basic Qualifications Principal Contract Administrator (Level 3):**
+ This position emphasizes skills and experience. Will consider Bachelor's Degree and at least 6 years relevant experience in U.S. Government contract administration and management or Masters Degree with 4 years relevant experience; In lieu of formal degree, High School Diploma or equivalent (GED) and at least 10 years of relevant experience
+ Must be able to effectively work with the management of other organizations (program management, subcontracts, business management, finance, and supply chain management) as well as representatives of Government agencies.
+ Must have a demonstrated understanding of and experience with FAR and DFARS..
+ Must have a demonstrated experience with various contract types such as Time & Material, Cost Reimbursable , Firm Fixed Price, etc.
+ Must have capable & effective proposal development skills, excellent negotiation skills, and excellent oral and written communication skills.
+ Must possess excellent decision-making skills that support resolution of complex problems while minimizing risk to the Corporation.
+ U.S. Citizen
+ Must have or be able to obtain and maintain an Active U.S. Department of Defense (DoD) Secret security clearance
+ Advanced skills using MS Office suite (Excel, Word, PowerPoint)
**Basic Qualification Sr. Principal Contract Administrator (Level 4):**
+ This position emphasizes skills and experience. Will consider Bachelor's Degree and at least 10 years relevant experience in U.S. Government contract administration and management or Masters Degree with 8 years relevant experience; In lieu of formal degree, High School Diploma or equivalent (GED) and at least 14 years of relevant experience
+ Must be able to effectively work with the management of other organizations (program management, subcontracts, business management, finance, and supply chain management) as well as representatives of Government agencies
+ Must have a demonstrated understanding of and experience with FAR and DFARS
+ Must have a demonstrated experience with various contract types such as Time & Material, Cost Reimbursable , Firm Fixed Price, etc.
+ Must have capable & effective proposal development skills, excellent negotiation skills, and excellent oral and written communication skills
+ Must possess excellent decision-making skills that support resolution of complex problems while minimizing risk to the Corporation
+ Must be a U.S. Citizen
+ Must have or be able to obtain and maintain an Active U.S. Department of Defense (DoD) Secret security clearance
+ Advanced skills using MS Office suite (Excel, Word, PowerPoint)
**Preferred Qualifications:**
+ Experience negotiating and administering various contract types of varying sizes and types of agreements
+ Experience in U.S. Government Department of Defense Contract Administration & Management
+ Demonstrated ability to effectively communicate with and influence others
+ Ability to work independently and make independent decisions, ensuring high levels of collaboration with stakeholders and subject matter experts
+ Ability to brief internal and external customers
+ Able to handle multiple tasks effectively in a fast-paced environment
+ Able to work as a team player, be proactive in identifying and resolving problems, have excellent organizational skills, and effective time management skills
+ National Contract Management Association - Certified Professional Contracts Manager (CPCM) desired
+ Strong problem-solving skills and the ability to be flexible and change directions when needed
+ Current Secret security clearance
**Salary Range:** $88,700 - $133,100
**Salary Range 2:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-IL-Rolling Meadows) Data Management Analyst2024-03-19T06:15:24-04:00https://northropgrumman.jobs/D0639B57858148A3A9BBDC681A9FBAE726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman offers challenging career opportunities in Hardware Engineering within the Mission Systems Sector that play a key role in the mission to protect our military personnel. The Hardware Engineering organization is dedicated to consistently providing high quality product designs in support of multiple product areas. The Engineering Support for the Targeting organization provides engineering support services for all programs at the Rolling Meadows campus including CCA Design Layout Technology, Configuration Management, Data Management, Standards and Components, Product Follow, and Product Integrity Engineering.
The Data Management Analyst plans and coordinates preparation of project documentation, such as engineering drawings, production specifications and schedules, and contract modifications to ensure customer contract requirements are met. Reviews contract to determine documentation required for each phase of project, applying knowledge of engineering and manufacturing processes. Coordinates modification of records for management control. Establishes change orders and prepares for change authorization and documentation by company and subcontractor. Reviews and analyzes released engineering change data and coordinates changes with engineering, quality, support, manufacturing, and engineering data control activities. The successful candidate will use their keen sense of urgency, multi-tasking abilities and initiative to keep projects on schedule.
**Basic Qualifications for Data Management Analyst:**
- Bachelor's degree with 3+ years of experience or MS degree and 1+ years of experience working in a corporate engineering or manufacturing setting.
- Experience working in a fast-paced environment
- Proven written/communication and analytical skills and proven ability to handle detail-oriented work processes
- Must be computer literate and proficient with Microsoft Office Suite
- Must have a good understanding of Excel including creating reports, spreadsheets, and tables and proficient in manipulating data and formats of spreadsheets.
- Must have excellent communication skills with both internal and external customers.
- Must be detail oriented and have the ability to follow processes.
- U.S. citizen and ability to obtain Security Clearance-Secret
**Preferred Qualifications:**
- Prior experience working with complex databases i.e. SAP, TcE (PDM), ENOVIA
- Prior military experience
- Experience within the Defense Industry.
- Experience with Data management.
- Current active security clearance.
**Salary Range:** $68,800 - $103,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-OH-Beavercreek) Cyber Information Assurance Analyst - Secret2024-03-19T06:15:23-04:00https://northropgrumman.jobs/0270F017AD7A486AB217234BE016960B26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Cybersecurity Professionals, We Want You!**
Cyber assets everywhere are under siege from a wide spectrum of threats. Almost daily, these threats grow in sophistication, breadth and speed.
At Northrop Grumman, we take a holistic approach to cybersecurity, looking at the whole cyber landscape of people, processes and technology and the whole security realm of offense, defense, and exploitation. Thought leadership demands nothing less.
If you are an expert with classified computers within the Department of Defense (DoD) and Intelligence Community computing environments, Northrop Grumman Corporation has fantastic opportunities for your career growth.
We are seeking novice level **Information Systems Security Professionals** across the country to support information systems lifecycle activities. The selected candidate will be required to work on-site, full-time at our Beavercreek, OH location. The individual will perform the following duties on a day-to-day basis in support of the program:
+ Perform assessments of systems and networks within the networking environment or enclave and identify where those systems and networks deviate from acceptable configurations, enclave policy, or local policy.
+ Establishes strict program control processes to ensure mitigation of risks and supports obtaining certification and accreditation of systems.
+ Includes support of process, analysis, coordination, security certification test, security documentation, as well as investigations, software research, hardware introduction and release, emerging technology research inspections and periodic audits.
+ Assist in the implementation of the required government policy, make recommendations on process tailoring, participate in and document process activities.
+ Perform analyses to validate established security requirements and to recommend additional security requirements and safeguards.
+ Support the formal Security Test and Evaluation (ST&E) required by each government accrediting authority through pre-test preparations, participation in the tests, analysis of the results and preparation of required reports.
+ Document the results of Certification and Accreditation activities and technical or coordination activity and prepare the system Security Plans and update the Plan of Actions and Milestones POA&M.
+ Periodically conduct a complete review of each system's audits and monitor corrective actions until all actions are closed.
Note: Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
**Basic Qualifications:**
+ Master's degree with 0 years of relevant experience OR a Bachelor's degree with 2 years of relevant experience OR an Associate's degree with 4 years of relevant experience OR a High School diploma or equivalent with 6 years of relevant experience
+ DoD 8570 IAM level I (or higher) security certification (Examples: CND, Cloud+, Security+ CE)
+ Active DoD Secret level security clearance with ability to obtain and maintain a DoD Top Secret clearance as a condition of continued employment
+ Ability to obtain and maintain access to Special Programs as condition of continued employment
**Preferred Qualifications:**
+ Bachelor's degree in Cyber Security
+ Active DoD Top Secret clearance
+ SAP/SAR Access
+ 3 years of experience with Certification & Accreditation of classified systems under RMF (Risk Management Frameworks)
+ Knowledge of ACAS, NESSUS, SPLUNK, SCAP, POA&Ms, NIST, JSIG, system audits, vulnerability scanning, and/or RMF package development
ESCSO
ESCyberInfoSec
**Salary Range:** $79,300 - $118,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Principal Cyber Security Systems Engineer / Sr. Principal Cyber Security Systems Engineer2024-03-19T06:15:23-04:00https://northropgrumman.jobs/05B7456A980F45508CB8B7F68998021526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
As an integral part of our cross-discipline engineering team in Mission Systems that encompasses embedded Systems Engineering, Cybersecurity and Anti-Tamper Engineering.
**Roles and Responsibilities:**
+ Performing key systems engineering elements to meet a given program's requirements.
+ Assist with the design and develop system security architectures.
+ Assist with the definition of key capabilities and performance requirements.
+ Perform detail trade studies, requirements allocation, and interface definition studies to assist with the translation of customer requirements into hardware and software specifications as they pertain to system security.
+ Develop an understanding of system interfaces and how to protect them.
+ Assist with ensuring the product requirements integrate into the total systems solutions that acknowledge technical, schedule, and cost constraints.
+ Develops modifications to existing products to meet unique customer needs, and supports/leads the development of system security functions.
+ Support technical work products developed by the larger engineering team, supporting design reviews and integration and test activities as needed.
+ Present solutions to customers and senior management using tailored material based on the audience
**Basic Qualifications for a Principal Cyber Security Systems Engineer:**
Bachelor's degree with 5 years of experience, a Master's degree with 3 years of experience, or Ph.D. with 0 years of experience in Systems Engineering, Software Engineering, Electrical Engineering, Computer Engineering or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S. Citizenship is required.
+ Ability to obtain a DoD secret clearance and Special Program Access (SAP) prior to start.
+ System Engineering knowledge of DoD based Platforms, Sensors and/or Sub-systems. Including but not limited to: EO/IR, Cryptography, Satellite, Architecture development, RF, Radar and Undersea Sensors, etc.
+ Familiarity with requirements development and derivation or validation and verification.
**Basic Qualifications for a Senior Cyber Security Systems Engineer:**
Bachelor's degree with 9 years of experience, a Master's degree with 7 years of experience or a PhD with 4 years of experience in Systems Engineering, Software Engineering, Electrical Engineering, Computer Engineering or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S. Citizenship is required.
+ Ability to obtain a DoD secret clearance and Special Program Access (SAP) prior to start.
+ System Engineering knowledge of DoD based Platforms, Sensors and/or Sub-systems. Including but not limited to: EO/IR, Cryptography, Satellite, Architecture development, RF, Radar and Undersea Sensors, etc.
+ Experience with requirements development, derivation, system integration & test and validation and verification.
**Preferred Qualifications:**
+ Advanced degrees in a STEM discipline (Science, Technology, Engineering, Mathematics).
+ Active DoD Top Secret Clearance or higher.
+ Experience with hardware design, design verification testing, reverse engineering and/or embedded software development.
+ Experience working on a cross-discipline team.
+ Experience working with Government agencies.
+ Experience in technical leadership role(s).
+ Strong verbal and written communication skills with experience contributing to and/or making technical presentations to internal and external customers.
+ Ability to travel occasionally CONUS (at least 10%).
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
This position is contingent upon the successful transfer of an active DoD Secret Clearance, the ability to obtain Special Program Access (SAP) prior to start and program award.
**Salary Range:** $112,600 - $169,000
**Salary Range 2:** $139,700 - $209,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Dulles) Lead Systems Engineer - TS Clearance Required (Satellite/Space Systems)2024-03-19T06:15:23-04:00https://northropgrumman.jobs/29E2446D657B4730BF7E26C493DB13AB26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join the Tactical Space Systems Division Mission Systems Engineering organization in a strategic role as a Lead Systems Engineer to support national security programs at our Dulles, VA campus.
**Duties/Responsibilities:**
+ Provide support to all segments for the SE Integrated Team in a leadership capacity
+ Lead and manage technical solution to achieve system requirements and enable mission success.
+ Support the development of the vehicle and mission design and analysis efforts
+ Conceive and develop solutions to complex analytical, design, and test problems
+ Support and provide value-added feedback within technical reviews
+ Responsible to write monthly status and technical performance reviews and reports
+ Coordinate performance of system-of-system trades, modeling, simulation, and analysis to assess space mission architecture alternatives for delivering a customer mission effect, data or capability as it fits within a broader campaign.
+ Lead analysis-of-alternatives and synthesize mission elements to lead to a cohesive space mission solution.
+ Lead system analysis, design optimization, system performance assessments, and operations analysis work in support of integrated mission analysis for current and potential customers.
+ Track and present Measures of Performance, Measures of Effectiveness and define other metrics to ensure capabilities meet customer needs and objectives.
+ Understand and communicate operational mission requirements to engineering teams, business executives, customers, suppliers, and teammate organizations.
Successful candidates will lead technical initiatives with direct interaction with program and customer representatives and be part of a team that demonstrates an attitude and approach founded in the following key characteristics:
+ Mission Success Driven
+ Customer Intimacy
+ Simultaneous Focus on Short Term Objectives and Long-Term Imperatives
+ Solutions Oriented, Driven, Creative, Problem Solver
**Basic Qualifications:**
+ Bachelor's Degree in STEM Science, Technology, Engineering, Math or related technical field and a minimum of 14 years of applicable experience, or a Master's Degree and 12 years of applicable experience
+ Strong leadership skills, with the ability and desire to direct a technical team toward delivering Statement Of Work (SOW) deliverables and meeting program milestones
+ Strong communication skills, with the ability to effectively interface with the program team, program management, internal executives, and external customers
+ Experience leading a program through a milestone design review
+ **Must be US Citizen with active or current TS Clearance with SCI eligibility**
**Preferred Qualifications:**
+ Experience working directly with customer to develop innovative solutions to mission objectives within cost and schedule constraints
+ Experience in requirements management, system architecture development and CONOPs.
+ Experience in development and maintenance of interface control documents
+ Experience in aerospace systems, spacecraft design, or mission operations.
\#NOVASpace
**Salary Range:** $165,000 - $247,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Boulder) Space Digital Engineer (Circuit Card Focused)2024-03-19T06:15:22-04:00https://northropgrumman.jobs/6C2C690510F041F69012088AB2D10DCE26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
Northrop Grumman Space Systems - Payload and Ground Systems has an exciting career opportunity for a **Digital** **Engineer** to join our team of qualified, diverse individuals. This position will be located in **Boulder, CO** .
**Overview:**
As a Digital Engineer you will be developing and sustaining systems using current technologies and others yet to come. We are seeking individuals who have a thirst for knowledge, approach each day with optimism, and have a relentless drive to solve complex problems. Creativity and innovation are imperative for this role, because at Northrop Grumman, many of the problems we work on are impossible... until they're not.
The selected **Digital Engineer** will work the Payload and Ground Systems (PGS) S2E2 program.
**Essential Functions:**
The selected candidate will join a team of dedicated engineers, supporting a critical national defense mission. The responsibilities will include, but are not limited to, the following:
+ Supports the design, development, and analysis of Ground Station requirements.
+ Implements Hardware (HW), and Radio Frequency (RF) systems in support of Ground Based Systems architecture and support communications infrastructure.
+ Conducts technical analysis of product implementations, modifications, and enhancements to product in accordance with specific customer specifications and implementations.
+ Tests and understands a variety of electronic equipment and systems, including satellite modems; Front-end processors; general communications equipment and systems; circuitry components; analog and digital computers; and control and test equipment.
+ Evaluates and resolves engineering related production problems related to manufacturing, design, quality, and material engineering.
+ Analyzes engineering specifications and drawings; confers with quality, material, manufacturing, and subcontractors to provide and obtain technical information; investigates reports of defective, damaged, or malfunctioning parts, assemblies, equipment, or systems; and reviews production schedules and orders.
+ Examines, measures, inspects, or tests defective parts for conformance. Evaluates findings to formulate corrective actions.
+ Applies electrical, electronic, and mechanical principles to components and systems, including assembly, analysis, and documentation of results; construction of developmental assemblies, sub-assemblies, and components; and quality testing.
+ Responsible for research and/or product development in more than one engineering discipline.
+ Supports and participates in the design, test, modification, fabrication, and assembly of electro-mechanical systems.
**Basic Qualifications:**
+ Bachelor's Degree in STEM discipline from an accredited university and 2 years of related experience, a master's degree; or 6 years of related experience in lieu of degree.
+ **Ability to obtain a DoD Secret Level clearance**
+ Experience developing ground terminals (S and K band systems specifically is a plus)
+ Experience with tactical datalinks
+ Experience with fiber-optic links (using fiber testing tools for troubleshooting are a plus).
+ Signal loss & link budget calculation
+ Digital Signal Processing (DSP) experience (specifically Modems and Front-End Processors for antennas)
+ HEMP hardened system development, and/or testing experience
+ Environmental hardening, and/or testing experience
+ Power system (DC & low voltage AC) design
+ Loopback testing and link strength + quality (G/T) analysis
+ Troubleshooting electrical systems down to LRU level
+ Creating electrical diagrams, signal flow diagrams
+ Experience with MS Office suite of tools (i.e., Word, Excel, PowerPoint, Project)
**Preferred Qualifications:**
+ Bachelor's degree in Electrical, Mechanical, or RF Engineering or related discipline.
+ Circuit Card Analysis and/or design experience
+ Familiarity with functional analysis, detailed studies, interface definition to translate system requirements into subsystem specifications
+ Familiarity with integration, installation, test, and documentation of new and/or modified capabilities into complex systems.
+ Familiarity with hand tools and test equipment, and the use thereof
+ Familiarity with hand soldering/J-STD-001 Certified
+ Ability to travel between 10 and 25% of the year
+ Ability to work independently with multi-disciplined teams within the program
+ Active Top Secret/SCI security clearance or the ability to obtain
+ Military experience or experience interacting with military customer
**Salary Range:** $79,300 - $118,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Principal/Senior Principal Digital Subsystems Engineer2024-03-19T06:15:22-04:00https://northropgrumman.jobs/AB745A3FAA384923A9E2103C87EF04FD26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is searching for Digital Subsystems Engineers that are ready to use their knowledge of advanced digital technologies and engineering experience to help develop deployable solutions for our customers. This position will be filled at the Principal Engineer or Senior Principal Engineer level based on experience and qualification.
This technology development position that will span multiple roles:
+ Team Member - Working with interdisciplinary teams to develop digital electronic subsystems and allocating functionality to subsystem components.
+ Leader - Providing direction and oversight to internal and external design teams developing processor subsystem modules and components such as Custom Chassis, Backplanes, Single Board Computers, Multi-chip Modules, SiPs, SoCs, ASICs, and FPGAs
+ Innovator - Designing and modeling specific aspects of advanced digital subsystems
+ Developer - Developing subsystem architectures and designs that are compatible with company and industry standards
Responsibilities will include:
+ Working with engineer leadership and development teams to define subsystem designs to meet system requirements
+ Modeling and analyzing critical parameters of subsystem (e.g. power, throughput, cooling, storage, boot, IO latency and bottlenecks)
+ Creating subsystem requirement specifications and design during development process
+ Performing integration and test activities for subsystems and modules
+ Collaborating with design and implementation teams to ensure requirements are met on schedule and within budget
+ Learning, applying and driving improvement of internal engineering processes
This requisition may be filled at either the Principal or Senior Principal Level.
**Principal Engineer Basic Qualifications:**
+ Bachelor's degree with 5 years of experience, a Master's degree with 3 years of experience or a PhD with 0 years of experience in Electrical Engineering, Computer Engineering, Computer Science, or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S Citizenship is required
+ An active DoD Secret Security Clearance is required with the ability to obtain Special Program Access (SAP) prior to start.
+ Development and optimization experience in at least one of the following: Embedded Microprocessors, Microelectronic Modules or Digital Processing Algorithms
**Senior Principal Engineer Basic Qualifications:**
+ Bachelor's degree with 9 years of experience, a Master's degree with 7 years of experience or a PhD with 4 years of experience in Electrical Engineering, Computer Engineering, Computer Science, or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S Citizenship and an active DoD Secret Security Clearance is required with the ability to obtain Special Program Access (SAP) prior to start.
+ 2+ years of technical leadership experience
+ Development and optimization experience in at least one of the following: Embedded Microprocessors, Microelectronic Modules or Digital Processing Algorithms
**Preferred Qualifications for both levels:**
+ Advanced degrees in Science, Technology, Engineering or Mathematics or related technical fields.
+ US Citizenship and an active DoD Secret Security Clearance is required with the ability to obtain Special Program Access (SAP) prior to start.
+ Experience developing electronic subsystems, circuit boards, ASICs and/or FPGAs for aerospace and defense applications
+ Demonstrated expertise in field (e.g. journal published, patents)
+ Additional Domain knowledge and experience in some of the following or similar areas: Processing, RF Sensors such as Radar, Communications, Digital Receivers, Direct Digital Waveform Generation, Software Defined Radio, EA/ESM systems, EO/IR Sensors, Sonar and Acoustic Sensors, AI & ML, Multi-chip Modules, System in a Package, Hardware Emulation, Digital Twins, High Speed Interfaces or Embedded Networking
This position is contingent upon transfer of DoD Secret Clearance and Special Program Access (SAP) prior to starting.
**Salary Range:** $112,600 - $169,000
**Salary Range 2:** $139,700 - $209,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-San Diego) Sr Principal Engineer Manufacturing Systems2024-03-19T06:15:21-04:00https://northropgrumman.jobs/08A20D959E8F450DBAC61454B732913E26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
We are looking for you to join our team as a Sr. Principal Engineer Manufacturing Systems based out of San Diego, CA.
This Systems Engineering role is in the Operations organization and will report to the Division Operations Systems Engineering department head. The focus of this organization is in accelerate affordable maturation of Product Lines and programs by providing a technical, systems-based Operations voice throughout the capture, product development, and Operations execution phases. **The role is an onsite based position in San Diego campuses to achieve strategic objectives and further differentiate the San Diego campuses from its competitors and expand on our Operations Systems Engineering Mission. Area of focus for this position concentrates in Systems Engineering to drive early collaboration between other organizations and the Operations (Manufacturing and Test) organization to enable successful product launches into production.**
The responsibilities include, but are not limited to, the tasks outlined below:
**Product Development and Design Support**
+ Developing Manufacturing plans and supporting product development
+ Partnering with the Engineering / design organization to improve producibility
+ Supporting cost trade analyses and trade studies for make/buy decisions
+ Provide Manufacturing Readiness Assessment support
+ Identify risks and developing mitigation strategies
+ Recommending product design improvements required to produce advanced microelectronics products
**Identify Operations Investment Needs**
+ Coordinate with the department head on capital needs for new programs / products.
+ Collaborate with the Industrial Engineering and capital planning department for new capital investments.
**Support Business Capture Efforts**
+ Help business management develop teaming & cost strategies
+ Help shape Operations related requirement in RFP
+ Validate ability to source, produce, test, inspect, deliver & maintain product offerings
+ Identify Operations related risks/opportunities & handling strategies
+ Incorporating culture change to drive new streamlined approaches for early engagement
**Basic Qualifications:**
+ This position requires a BS degree in STEME or related technical field and at least 9+ years of applicable experience; (OR an MS Degree and 7+ years of applicable experience; OR a PhD Degree and 4+ years of applicable experience.)
+ Fluency in MS Office software applications
+ An Active DoD Secret Security Clearance (US Citizenship is required.)
+ Experience leading or managing projects
+ Extensive Systems Engineering experience.
+ Manufacturing Engineering Experience
**Preferred Qualifications:**
+ Master's in systems Engineering experience a huge plus
+ Superlative communication skills to interface with all levels of an organization; must be comfortable presenting to BU VPs and Directors; presenting technical topics.
+ Experience developing design to cost models using industry wide acceptable cost estimation tools a huge plus.
+ Experience in IPC Class 3 electronics design and manufacturing
+ Experience in design qualification and reliability analyses
+ Knowledge of microelectronics technology and materials
+ Experience in reviewing and challenging technical documentation
+ Experience in preparation of contract changes and supporting proposals
+ Knowledge of requirements management tools (e.g. DOORS or similar)
+ Experience with Lean, Six Sigma
+ Familiarity with J-STD, MIL-STD, and MIL-HBK requirements (e.g., AS6500, etc)
**Salary Range:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Thermal Mechanical Engineer/ Principal Thermal Mechanical Engineer2024-03-19T06:15:21-04:00https://northropgrumman.jobs/3E30880EB683457CB3DBA88EDF4D896826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a Thermal Mechanical Engineer or Principal Thermal Mechanical Engineer to join its Thermal Analysis team within the Hardware Organization. The **Thermal Engineer** will conduct thermal analysis and design of avionics and space electronics with an emphasis on design improvement. The engineer will be responsible for the entire system, sub-system, including microelectronics component level design and analysis.
**Responsibilities Include:**
+ Design advanced electronics thermal management solutions using heat transfer principles to meet optimal thermal performance
+ Perform electronics thermal analysis requiring experience in heat transfer including liquid cooled, air-cooled, two-phase flow, and conduction thermal solutions for Mission Systems platforms systems such as airborne, ground based, and naval applications
+ Analyze and design thermal solutions by owning thermal performance of the design
+ Provide oral and written presentations to internal and external customers
**Basic Qualifications for Thermal Mechanical Engineer:**
+ Bachelor of Science in Mechanical or Aerospace Engineering with 2 years of related experience, 0 years with a Masters
+ Thermal engineering experience, to include experience in heat transfer, liquid cooled, air-cooled, and thermal control systems for different platform systems
+ Excellent interpersonal and communication skills
+ Ability to work efficiently in a collaborative, multidisciplinary team environment
+ Strong hands-on work ethic
+ Ability to obtain and maintain a Secret Clearance
+ U.S. Citizenship is a pre-requisite
**Basic Qualifications for Principal Thermal Mechanical Engineer:**
+ Bachelor of Science in Mechanical or Aerospace Engineering with 5 years of related experience, 3 years with a Masters, 0 years with a PhD
+ Thermal engineering experience, to include experience in heat transfer, liquid cooled, air-cooled, and thermal control systems for different platform systems
+ Excellent interpersonal and communication skills
+ Ability to work efficiently in a collaborative, multidisciplinary team environment
+ Strong hands-on work ethic
+ Ability to obtain and maintain a Secret Clearance
+ U.S. Citizenship is a pre-requisite
**Preferred Qualifications for Principal Thermal Mechanical Engineer:**
+ Advanced Degree in Mechanical or Aerospace Engineering with concentration in Heat Transfer and Fluid Dynamics
+ Demonstrated experience with thermal analysis techniques such as Finite Volume Analysis, Finite Element Analysis and Computational Fluid Dynamics
+ Prior thermal design experience for avionics systems
+ Prior experience with the thermal design of systems, as well as CCAs and microelectronics level thermal designs
+ Thermal design verification testing experience
+ Active DoD Secret Clearance or higher
This position is contingent upon the ability to obtain and maintain an active DoD Secret Clearance or the transferal of an active DoD Secret Clearance.
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:**
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
**Salary Range:** $75,700 - $113,500
**Salary Range 2:** $93,000 - $139,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Chantilly) Principal System Engineer - SIGINT Systems (TS/SCI or above required)2024-03-19T06:15:19-04:00https://northropgrumman.jobs/2FF3DF4349F04D58840F68DF3E17E0A026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Are you interested in defining possible? **Northrop Grumman Mission Systems** is seeking a **Cyber Systems Engineer III** to join our team!
This position will primarily be in **Chantilly, VA** and will support the **Diadem Program Team** within the SIGINT Technology Center's (STC). The STC is where technology and teamwork come together to meet the unique needs of the Intelligence Community and Department of Defense. The STC is comprised of multiple mission-focused programs with projects ranging from Unmanned Aerial Vehicle (UAV) SIGINT payload and data link development to OCONUS/CONUS mission/maintenance support.
This role will primarily be in support of **Operations and Maintenance of the Diadem program** and generally includes multi-discipline, full life cycle engineering tasks such as system design, hands on build and test, field operations and maintenance, production, training, and real time customer mission support.
**Roles and Responsibilities:**
+ Support the design, integration, test, and document operations of hardware components, LRUs, and high-level systems
+ Support operations and maintenance of fielded SIGINT systems
+ Collaborate with a multidisciplinary engineering team to upgrade and improve developmental and fielded systems to maximize uptime and capabilities
+ Develop and execute troubleshooting procedures for use in CONUS/OCONUS test sites, System Integration Labs (SILs), and real time operations
+ Interface and collaborate with customers, end users, operators, and Field Service Representatives for: On-call pager support, On-call Subject Matter Expertise support, and On-call troubleshooting
**Basic Qualifications:**
+ Qualified applicant must have a STEM degree; 8 years with Associates; 5 Years of experience with a bachelors; 3 Years with Masters
+ Applicant must have an active TS/SCI clearance
+ Experience with electrical test & measurement equipment
+ Experience with hardware design, testing, and integration
+ Ability and willingness to support at customer site locations on an as needed basis
**Preferred Qualifications:**
+ Bachelor of Science in Electrical Engineering or Systems Engineering
+ Active TS/SCI clearance w/ FSP
+ Ability and willingness for CONUS travel
+ Experience with networking and system architecture
+ Experience in cable design and testing
+ Experience in operations and maintenance of SIGINT/EW systems and workflows
+ Experience with schematic design tools (e.g. Mentor Graphics, Zuken, etc.)
+ Knowledge of hardware and functions of SIGINT/EW systems and workflows
+ Knowledge of interferometry, TDOA/FDOA, ranging systems and geolocation principles
+ knowledge of telemetry systems
**Salary Range:** $118,000 - $177,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Quality Inspector - Level 1/22024-03-19T06:15:19-04:00https://northropgrumman.jobs/FBEC608292544B5DA4B2F92F0851DC8826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems is seeking an **Quality Inspector - Level 1/2** for the **Palmdale, CA** site.
Uses predetermined methods, operations, setups and prescribed specifications to inspect visually in-process and completed products such as electronic units and subsystems, precision electromechanical assemblies or mechanical units, subassemblies, structural flaws, internal defects, and missing welds. Uses various measuring devices. Accepts, rejects, or reworks defective or malfunctioning units or systems. Works from models, blueprints, diagrams, dial indicators, preset micrometers, scales, fixtures, customer specifications, drawing or inspection instructions and checklists. May monitor and verify quality in accordance with statistical process or other control procedures. Performs line clearances after each lot to ensure all materials from the previous lot have been removed.
Job Responsibilities:
• Inspect materials from internal or external sources; involving physical inspection, documenting dimensional compliance and review of vendor certifications for compliance
o Inspect internally manufactured parts and final assemblies throughout all stages of production and review of final test data
• Inspect product in accordance with drawings, work instructions, quality acceptance procedures, and maintain acceptance documentation/records
o Make pass/fail decisions on inspected product
o Document and adjudicate nonconformance's in MES
o Inspect using a variety of techniques/methods; e.g., visual inspection, conventional metrology (height gages, gage pins, thread gages, and dial indicators), calipers, micrometers, functional gages, etc.
• Verify specifications using Assembly Instructions, engineering drawings and parts lists, inspection instructions, and checklists
• Review documentation to ensure it meets "As Is / Should Be" requirements
• Assist in generation/performance/review of First Article Inspections (FAI) and FAI Reports in accordance with AS9102
• Validate and verify calibration of tooling, gauging, and other equipment meets requirement and currency standards
• Support nonconformance containment through corrective action report (CAR) issuance, root cause investigations, audits and other continuous improvement activities
• Understand and enforce Electrostatic Discharge (ESD)/Foreign Object Damage (FOD)/Tool Control practices though audits and other quality control process
• Experience in the use of Microsoft Office Suite of applications
Basic Qualifications Level 1:
• High school diploma/GED and zero years of relevant work experience
• Ability to obtain and retain a DOD Secret Clearance, which requires US Citizenship
• Ability to obtain and retain Program Access (PAR)
• Experienced in the use of Microsoft Office Suite of applications
• Ability to stand for extended hours during inspection and lift 50lbs
• Familiarity with soldering and soldering workmanship acceptance standards.
• Familiarity with fabrication processes and standards
• Must have a good understanding of quality management systems in a manufacturing environment
• Must be capable of coordinating nonconformance incidents and handling procedures
• Must have effective verbal and written communication skills
• Ability to work 1st shift, 2nd shift, overtime and travel on little to short notice
• General familiarity with Geometric Dimensioning and Tolerancing (GD&T) requirements
Basic Qualifications Level 2:
• High school diploma/GED and two years of relevant work experience
• Ability to obtain and retain a DOD Secret Clearance, which requires US Citizenship
• Ability to obtain and retain Program Access (PAR)
• Experienced in use of technical drawings and following manufacturing work instructions and inspection procedures
• Experienced in the use of Microsoft Office Suite of applications
• Experience in use of manufacturing information systems: e.g. SAP and Solumina
• Ability to stand for extended hours during inspection and lift 50lbs
• Familiarity with soldering and soldering workmanship acceptance standards.
• Familiarity with fabrication processes and standards
• Must have a good understanding of quality management systems in a manufacturing environment
• Must be capable of coordinating nonconformance incidents and handling procedures
• Must have effective verbal and written communication skills
• Ability to work 1st shift, 2nd shift, overtime and travel on little to short notice
• General familiarity with Geometric Dimensioning and Tolerancing (GD&T) requirements
Preferred Qualifications:
• General understanding of AS9102
• Proficient in MS Office Suite
• DoD Secret/Top Secret Clearance
• Certified in J-STD-001, IPC-A-610, and IPC/WHMA-A-620
• Previous inspection experience in aviation, electronics, or ordinance
• Associate degree in a Physical Science or Manufacturing related area
• Experienced with practices associated with ISO9001 and AS9100 Quality Management Systems
• Basic working knowledge and experience with Geometric Dimensioning and Tolerancing (GD&T)
• Proficient in defect reporting using SAP and/or Solumi
**Salary Range:** $37,600 - $62,600
**Salary Range 2:** $44,600 - $74,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(GBR-New Malden) Principal Systems Engineering Lead2024-03-19T06:15:17-04:00https://northropgrumman.jobs/305DC64BED674D73A0AED4AC14C1832826**Your Opportunity to Define Possible** **.** **Our Opportunity t** **o Deliver the Nation's Security** **. Together.**
**Salary:** £50,000 - £72,000
**Role Clearance Type: You must be able to gain and maintain the relevant UK Government clearance in line with the job role (SC).**
**Location:** Burlington House, New Malden, Kingston-Upon-Thames, London, UK.
**About Your Opportunity:**
**A key member of the Systems Engineering Team, this role will lead and take ownership of various aspects of large** **and strategically important UK Defence, Cyber and Intelligence Programmes.**
The role provides customers with timely delivery, within budget and drives the ongoing development and growth of Systems Engineering within NGUK. Opportunity to impact and shape the development of existing and future systems that support major UK defence assets.
**Your Benefits:**
+ **Flexible working schedules -** we offer flexible and hybrid working arrangements. Talk to us at the application stage about any scheduling preferences you may have.
+ **Flexible Benefits Package** - choose which NGUKL benefits you want to satisfy your personal needs. Core Benefits provided for you are Healthcare, Dental, Life Assurance and Pension. Benefits you can flex include Critical Illness Cover, Health Cash Plan, and Health Assessments.
+ **Employee Incentive Programme -** exceptional performance is recognized through our annual incentive programme which is awarded to top performers who excel
+ **Career Development -** opportunity for ongoing professional development and career growth opportunities
**Your** **Responsibilities:**
+ Drive SE process on programmes
+ Successfully deliver key milestone design reviews
+ Customer relationship development
+ Lead integration of other engineering disciplines
+ Technical management of suppliers
**Your Experience:**
+ Proven experience, with Extensive Systems Engineering models and techniques knowledge
+ Degree qualified in relevant engineering subject
+ Exceptional people and communication skills
+ External customer/supplier relationship management experience
+ Aptitude for people leadership and team management
**Your Future Team:**
Delivering a reliable and real-world Systems Engineering process into some of the UKs most exciting engineering programmes. We are a diverse team of experienced Systems Engineers, striving to deliver strong technical leadership on some of the UKs largest engineering challenges
We are highly skilled and diverse with a huge range of process and technical knowledge. Our matrix structure promotes collaboration both within the Systems Engineering team as well as across all the engineering disciplines and programmes. We are passionate about solving the UKs most pressing technical challenges and are proud to deliver quality systems to our customers.
We believe that creating a team that values diversity and fosters inclusion is essential to great performance and we are proud to deliver quality systems to our customers.
Diversity is at the heart of our success. Our team share experience, knowledge and new thinking gained from a wide range of backgrounds perspective, culture, gender, race, age and many other elements across several industries. We welcome candidates from all backgrounds and particularly from communities currently under-represented within our industry . We treat everyone with respect and foster safe and inclusive environments.
**About Our Responsibilities:**
Our customers operate in unique environments which offer new and exciting challenges every day, cultivating a place where you can learn and thrive, working alongside the best minds in industry. We'll give you space to develop your career, where your ideas can shape the future of our dynamic business.
We promote collaboration to achieve more than we could imagine, together. And w ithin a respectful and inspirational environment, we value what you say and do.
**How to Apply:**
**Interested in our opportunity?**
**Yes** - then simply submit your application online. Your application will be reviewed by one of our expert recruiters who'll then respond advising you of the outcome and next steps for successful candidates.
**Possibly, I'd like to find out more** - then connect direct to TalentEnquiries@uk.ngc.com, and we will be happy to support you with any enquires.
Background checks and potentially security clearance form part of the recruitment process, our team will inform you of the procedures when required.
**Northrop Grumman UK:**
Work with a global brand that makes a real contribution to our nation's security and future. At Northrop Grumman UK, the brightest minds come together to push the boundaries and Define Possible. As leaders in the digital transformation of Aerospace, Defence and Intelligence we are providing ground-breaking outcomes for our customers.
**UK Defence Business:**
Our UK Defence business is a Sovereign software and systems centre of excellence. As well as developing and supporting UK wide and internationally deployed multi-domain command and control systems, our work is critical to the modern backbone of the Royal Navy. We pioneer - with fierce curiosity, dedication, and innovation, we seek to solve the world's most challenging problems.
**Find out more** : https://www.northropgrumman.com/careers/job-search-united-kingdom/
\#LI-TP1
+ \#LI-Hybrid
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.(USA-VA-Dulles) Aircraft Mechanic 22024-03-19T06:15:15-04:00https://northropgrumman.jobs/05B7F0B3C7294747BE9B697A098FB5D226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is seeking an experienced **Aircraft Mechanic 2** to support our Gulfstream 550 and Citation CE680 aircraft. **This is a 2nd Shift position located at our Washington-Dulles facility in Dulles, VA.**
This position will work alongside fellow technicians performing recovery & postflight/preflight inspection duties, aircraft cleaning(interior/exterior), scheduled & unscheduled maintenance in accordance with manufacturer's technical specifications and Federal Aviation Administration regulations and additional duties assigned by management. The position will maintain required FAA records, manuals, inspection forms, and other forms of technical data, both in paper and computer-based formats. The position will require the ability to work flexible hours, occasional overtime, alternate scheduling, and weekends as needed to support the operation.
**Basic Qualifications:**
+ High School Diploma and **2** years jet aircraft maintenance experience
+ Current FAA Airframe and Powerplant certificates
+ Must have own tools necessary for the position
+ Ability to occasionally lift 60 lbs.
+ Ability to occasionally work at heights at or about 20 feet (with proper fall protection)
+ State Issued Driver's License
+ Eligibility to secure and maintain airport issued security badge
+ Eligibility to secure and maintain a gov't issued passport
+ Proficiency with Microsoft Office products
+ Proficiency with CMP and CAMP Maintenance Tracking programs
+ Outstanding communication skills, the ability to function within a team-oriented environment
**Preferred Qualifications:**
+ Factory/OEM level maintenance training & experience on the Gulfstream V/550 & Cessna 680 aircraft
+ FAA Inspection Authorization certification
+ Prior FAA Part 91; 145 Repair Station experience
+ FCC License
**Salary Range:** $53,000 - $88,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Sr Principal Facilities Engineer2024-03-19T06:15:15-04:00https://northropgrumman.jobs/3E843AA8315C49BD9C9C13E71EDC4C0F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We are looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions.
By joining in our shared mission, we 'II support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you 'II have the resources, support, and team to do some of the best work of your career.
Northrop Grumman is seeking a Senior Principal Facilities Engineer to join our team of qualified, diverse individuals. The position is located in **Baltimore** **, MD** .
**Roles and responsibilities:**
+ This position is responsible for the design and construction oversight of mechanical systems at various Northrop Grumman facilities to include Plumbing, HVAC systems for both office and industrial applications, building operational control systems, and the following facility equipment systems; boiler (steam and hot water), compressed air, process vacuum, process cooling systems and air exhaust systems.
+ Plans, designs and oversees the reconfiguration, maintenance, and alteration of equipment, machinery, buildings, structures, and other facilities. Gathers and reviews data concerning facility or equipment specifications, company or government restrictions, required completion date, and construction feasibility. Coordinates with architecture/engineering firms in developing design criteria and preparing layout and detail drawings. Prepares bid sheets and contracts for construction and facilities acquisition. Reviews and estimates design costs including equipment, installation, labor, materials, preparation, and other related costs. Inspects or directs the inspection of construction and installation progress to ensure conformance to established drawings, specifications, and schedules.
+ The Mechanical Engineer will manage multiple and concurrent projects through all phases at a Project Engineer level, from conceptual design, evaluate constructability, planning, detailed design, energy efficiency evaluations and recommendations, construction document preparation, interdisciplinary coordination, and construction administration - including client and designer interaction and help resolving technical issues as required.
+ Projects include new construction & renovations for a variety of buildings.
+ In addition, the candidate should have experience with building commissioning and equipment start-up and be able to undertake detailed mechanical design & engineering reviews including HVAC load analysis, piping capacities and sizing, etc.
+ Prepare reports, specifications, data sheets and technical requisitions as necessary to fully define the design requirements and the equipment and services required.
+ Provide technical direction & supervision to engineering design/CAD personnel in preparation of mechanical design and equipment layouts, along installation details.
+ Review supplier documentation and incorporate electrical details within the design, survey existing installations to facilitate the design and integration of additions.
+ Carry out inspections/witness testing of equipment at supplier works.
+ Supervise other discipline project staff as required by Engineering Manager.
**Basic Qualifications:**
+ 9 Years with Bachelors; or 7 Years with Masters; an additional 4 years of applicable work experience may be substituted for a Bachelor's degree. Experience to include: Cost estimating, design, and construction management.
+ Strong communication skills.
+ Prior facilities related or construction experience.
+ Must have hands-on mechanical design experience for both industrial and government projects, including mission-critical facilities.
+ Must have: knowledge of principles and practices of project management; demonstrated ability to manage design and construction projects; consultation and change management skills; and computer skills in relevant design and office applications.
+ Must have well-developed analytical and organizational skills.
+ Must have experience related to Plumbing and HVAC Systems. Requirements able to work Monday-Friday with occasional evenings as needed. Must be able to be flexible with work schedule.
+ Must have a valid driver's license with own vehicle for transportation to various meetings and/or project sites within the Baltimore Metro area (mileage reimbursed).
+ Excellent interpersonal/customer service skills, presentation skills as well as strong verbal and written communications skills are required for this position as it involves direct contact with clients, engineers, construction personnel and upper management.
+ Must be able to obtain a Secret security clearance in the United States
**Preferred Qualifications:**
+ Hands-On experience with CAD
+ Knowledge of design components and track record of selecting energy and cost
+ Efficient mechanical systems and equipment.
+ Professional Registration or ability to Register as a (PE) in MD and/or a LEED AP.
+ Understanding of ICD-705 construction practices
+ Experience with building commissioning, sustainable design and energy conserving measures.
**Salary Range:** $115,400 - $173,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) Level 3/Level 4 Maintenance Technician2024-03-19T06:15:15-04:00https://northropgrumman.jobs/5DC5EC432F86405998CCDCDF1ECCB42126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman is currently seeking either a Level 3 or Level 4 Maintenance Technician to support our Facilities Operations Team at our Clearfield, Utah location.**
**Role Description & Responsibilities:**
This maintenance technician role requires leading a team of 5 members in caring out a variety of tasks, supporting the diverse facilities operations project teams. Working in close collaboration with facilities engineering and maintenance, the team's goal is to update and maintain the optimal work environment for Northrop Grumman employees. Additionally the team lead is tasked with inspecting and evaluation each project/installation, ensuring all activities align with Northrop Grumman's high standards.
**Job Duties:**
+ Execute tasks with quality, safety, and efficiency. Daily tasks include:
+ Installing/ maintaining employee amenities
+ Updating offices shared spaces with new Outlets/Data ports/Lighting Fixtures
+ Framing/ sheeting/ and finishing non-structural walls
+ Cubicle installation. Installing T.V. monitors, wall lettering and signs
+ Conduit and cable tray fabrication and installation for Data Systems
+ Conduit raceways for new power installations.120v to 480v systems
+ Ability to work as a team to achieve successful completion of projects safely
+ Communicating task progress with project managers and team members
+ Positive attitude and willingness to take on various types of work daily as directed
+ Documenting and tracking daily tasks
+ This shift requires 9 hours a day/5 days a week
**This position may be filled as a level 3 or level 4 Maintenance Technician.**
**Basic Qualifications for level 3:**
+ High School diploma or equivalent and 4 years of experience working in the Construction, Manufacturing or Production industry
+ Ability to perform tasks in proximity of high-level executive leadership and active production areas
+ Leadership experience
+ Ability to maintain a professional appearance
+ Ability to obtain and maintain a DoD Secret Clearance
+ Ability to obtain and maintain Special Program Access.
**Basic Qualifications for level 4:**
+ High School diploma or equivalent and 6 years of experience working in the Construction, Manufacturing or Production industry
+ Ability to perform tasks in proximity of high-level executive leadership and active production areas
+ Leadership experience
+ Ability to maintain a professional appearance
+ Ability to obtain and maintain a DoD Secret Clearance
+ Ability to obtain and maintain Special Program Access.
**Preferred Skills and Qualifications:**
+ Experience with Electrical, Plumbing, HVAC, or Welding
+ Active DOD clearance
+ Previous Manufacturing Maintenance Experience
**Competencies for Success:**
+ Positive individual who is willing to expand current skill set, through schooling and training
+ Strong interpersonal communication skills with ability to work with others at all levels of the organization
+ Strong attention to detail and accuracy
+ Ability to multitask and prioritize
+ Ability to work independently with minimal supervision
+ Strong problem-solving skills
+ Willingness to meet customer needs
+ Ability to work in a dynamic, fast paced, diverse environment
+ Initiative, self-starter, adaptable, and high motivation for excellence
+ High energy, results oriented, self-motivated / self-reliant, team player
We offer phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly collaborative workplace. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do. Does this sound like you?
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself! Every ERG is inclusive of all employees!
At Northrop Grumman, we are innovating-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare and a great 401K matching program.
**Salary Range:** $49,900 - $83,100
**Salary Range 2:** $59,300 - $98,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(GBR-Manchester) Lead Software Engineer2024-03-19T06:15:15-04:00https://northropgrumman.jobs/F6B499533FB54AD3A5EB23FBDFD473DE26**Define Possible at Northrop Grumman UK**
At Northrop Grumman UK, our mission is to solve the most complex challenges by shaping the technology and solutions of tomorrow. We call it Defining Possible.
This mind-set goes beyond our customer solutions; it's the foundation for your career development and the impact we have within the community. So, what's your possible?
**Opportunity:**
This is more than just a job; it's a mission.
As a Lead Backend Developer you play a pivotal role in designing, developing and maintaining the critical backend infrastructure that powers our services. You will be self-driven and organised with a passion for building elegant and stable solutions. You will have the chance to work on a variety of exciting projects with complex challenges within a unique space. You'll be at the forefront of delivering core solutions that have real world impact.
Our UK Cyber & Intelligence business combines modern software development approaches with a rich heritage and experience in the Defence and security sectors. Our customers have complex and sensitive data and information requirements requiring a mission partner who quickly understands the context, delivering and sustaining a portfolio of challenging technology projects at scale and pace, supporting them through an ambitious digital transformation programme.
"My purpose; to lead a team of software engineers with the brightest minds, to push the boundaries and define possible together." Rishi Badiani, Engineering Authority at Northrop Grumman UK.
**Responsibilities:**
+ Technical leader and focal point for the software development team - ensuring software is well designed and of high quality
+ Perform hands-on development and actively contribute to the code base including peer review of code
+ Support the Delivery Lead/Project Manager in developing project roadmaps, understanding delivery time scales, and communicating these to the customer
+ Liaison with the Product Owner to manage the product road map and communicating the impact of changing customer requirements
+ Identifying technical risks/opportunities and proactively developing plans to mitigate and realise them
**Benefits:**
We can offer you a range of flexible working options to suit you, including optional compressed working schedule with every other Friday off. Our benefits including private health care, career development opportunities and performance bonuses. For a comprehensive list of benefits, speak to our recruitment team.
**We are looking for:**
+ Experience of leading an Agile software development team of mixed abilities
+ Hands on experience of developing software in Java **or** Python
+ Understanding of Cloud-based architectures in AWS **or** Azure
+ Use of DevOps Automated Deployment tools such as Jenkins, Gitlab, Docker, OpenShift **or** Kubernetes
+ Understanding of database technologies such as SQL, Elasticsearch **or** MongoDB
**Security clearance:**
You must already hold highest level of UK government clearance.
Our requirement team is on hand to answer any questions and we will guide you through the process: talentenquiries@uk.ngc.com .
**Why join us?**
+ **A mission to believe in** **-** Every day we contribute to building a more secure and connected world, expanding our reach from land, sea, and air to space and cyberspace. From engineering data and intelligence solutions, to developing maritime navigation and control systems and innovating command and control systems for the UK and NATO, what we do together matters.
+ **A place to belong and thrive** **-** Every voice matters at our table meaning you can bring your authentic self to work. From our Employee Resource Groups backed by thousands of employees, to sponsoring Cheltenham Pride and our partnerships with Association For Black and Minority Ethnic Engineers, Forces Transition Group, Mind, and Women in Defence - we are passionate about growing and supporting our inclusive community where everyone can belong.
+ **Your career, your way** - Shape your career journey with diverse roles, mentorship, and development opportunities that fuel your curiosity, channel your expertise and nurture your passion. Looking for flexibility? Balance your professional career with your personal life, health and wellbeing benefits, discount schemes, pension benefits and investment in your future development. Speak to our team to find the balance that's right for you.
**Ready to apply?**
**Yes** - Submit your application online. Your application will be reviewed by our team and we will be in touch.
**Possibly, I'd like to find out more** **about this role** - Reach out to our team for more information and support: talentenquiries@uk.ngc.com .
**No, I don't think this role is right for me** - Our extensive UK growth means we have exciting, new opportunities opening all the time. Speak to our team to discuss your career goals.
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.(GBR-London) Business Development Manager2024-03-18T06:15:46-04:00https://northropgrumman.jobs/46E2D5B173F14A74B9FFE3CF5456EACD26**Your Opportunity to Define Possible** **.** **Our Opportunity t** **o Deliver the Nation's Security** **. Together.**
**Salary:** £81,000 - £105,000
**Role clearance type:** **You must be able to gain and maintain the relevant UK Government clearance in line with the job role (SC)**
**Location:** Hybrid. London office based with flexible working acceptable and travel required.
**About Your Opportunity:**
Northrop Grumman UK deliver mission critical capability into the UK armed forces and their government and international partners. You will help position Northrop Grumman for continued success; identify and capture business within the Land and Joint environments. You will ultimately be responsible for growing NG's business primarily with the British Army but with key interactions across UK defence.
**Your Benefits:**
+ **Flexible working schedules -** we offer flexible and hybrid working arrangements. Talk to us at the application stage about any scheduling preferences you may have.
+ **Flexible Benefits Package** - choose which NGUKL benefits you want to satisfy your personal needs. Core Benefits provided for you are Healthcare, Dental, Life Assurance and Pension. Benefits you can flex include Critical Illness Cover, Health Cash Plan, and Health Assessments.
+ **Employee Incentive Programme -** exceptional performance is recognized through our annual incentive programme which is awarded to top performers who excel.
+ **Career Development -** opportunity for ongoing professional development and career growth opportunities.
**Your Responsibilities:**
+ Act as the Corporate Account Lead for the British Army and leader of the UK Land Campaign.
+ Establish and maintain trusted relationships with key stakeholders within UK defence, focused on the British Army.
+ Drive all elements of Business Development for UK Land environment and British Army programmes; leading or supporting dependent on the stage of Business Acquisition.
+ Support the development of the annual financial targets and then drive performance to achieve or exceed them.
+ Establish trusted and collaborative relationships with US Sectors and Divisions to understand the company's product and service offerings, determine the opportunities in the UK market for these products and services and develop appropriate value propositions.
**Your Skills and Experience:**
+ You will be a strategic thinker. Able to translate strategy and vision into action. Able to identify multiple, and at times non-traditional, uses of our technologies and approaches across defence.
+ You will be an inspirational, motivated individual with a very strong work ethic.
+ You will possess the ability to act under pressure, coordinating multiple revenue threads in time critical situations.
+ You will possess flawless interpersonal skills. Highly articulate with the ability to accurately and simply summarise Mission Challenges and required solutions. You must have the ability to communicate accurately by voice, written word and to deliver appropriate presentations to teams, superiors and customers.
+ You will have a demonstrable thorough, relevant and recent understanding of the MOD procurement system, Defence Equipment Plan, Army Headquarters, British Army Mission Challenges and extant British Army change programmes.
+ You will have a demonstrable ability to form and lead teams from disparate sites including the ability to generate clear requirements and expectations.
+ A track record in winning new business in a defence context is highly desirable.
+ It is highly desirable that you are able to demonstrate high levels of organisational ability using Business Acquisition tools, alongside an ability to write vibrant and powerful reports.
**Your Future Team:**
You will be joining an established, energized, collaborative and supportive business development team who are focused on delivering growth for our customers and stakeholders at a time where Northrop Grumman UK is experiencing unprecedented growth.
Our focused, flexible and supportive team work collaboratively to capture new opportunities that lead to growth and build on shared success. We thrive on learning through new projects, motivating us to create new, innovative and market leading responses. We deliver industry leading technology into some of the UK armed forces most exciting programs, and deliver capability across the force commands.
"We are looking for a dedicated and dynamic person to join our high performance team. You will act as a driving force for revenue growth in the UK; directly contributing to Northrop Grumman's success and to the protection of our Nation."
**Paul Tremelling -Business Development Lead Northrop Grumman UK**
We believe that creating a team that values diversity and fosters inclusion is essential to great performance. We know the best ideas come from diversity of thought, background, perspective, culture, gender, race, age and many other elements. We welcome candidates from all backgrounds and particularly from communities currently under-represented within our industry . We treat everyone with respect and foster safe and inclusive environments.
**About Our Responsibilities:**
Our customers operate in unique environments which offer new and exciting challenges every day, cultivating a place where you can learn and thrive, working alongside the best minds in industry. We'll give you space to develop your career, where your ideas can shape the future of our dynamic business.
We promote collaboration to achieve more than we could imagine, together. And w ithin a respectful and inspirational environment, we value what you say and do.
**How to Apply:**
**Interested in our opportunity?**
**Yes** - then simply submit your application online. Your application will be reviewed by one of our expert recruiters who'll then respond advising you of the outcome and next steps for successful candidates.
**Possibly, I'd like to find out more** - then connect direct with talentenquiries@uk.ngc.com , where one of our recruitment business partners will be happy to support you with any enquires.
Background checks and potentially security clearance form part of the recruitment process, our team will inform you of the procedures when required.
**Northrop Grumman UK:**
Work with a global brand that makes a real contribution to our nation's security and future. At Northrop Grumman UK, the brightest minds come together to push the boundaries and Define Possible. As leaders in the digital transformation of Aerospace, Defence and Intelligence we are providing ground-breaking outcomes for our customers.
**UK Defence Business:**
Our UK Defence business is a Sovereign software and systems centre of excellence. As well as developing and supporting UK wide and internationally deployed multi-domain command and control systems, our work is critical to the modern backbone of the Royal Navy. We pioneer - with fierce curiosity, dedication, and innovation, we seek to solve the world's most challenging problems.
**Find out more** : https://www.northropgrumman.com/careers/job-search-united-kingdom/
\#LI-DNI
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.(USA-MD-Baltimore) Principal Linux Systems Administrator - Top Secret2024-03-18T06:15:46-04:00https://northropgrumman.jobs/F6BC1F795DF04E2A9222B283E1D67DBB26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Chief Information Office has an opening for a well-qualified Linux Systems Administrator to join our qualified, diverse and dynamic team of technical professionals. This position is located in the Baltimore, MD area.
The selected candidate will be required to work on-site, full-time at our Baltimore, MD campus . The individual will perform the following duties on a day-to-day basis in support of the program:
Roles and responsibilities include:
+ Perform as a Linux Systems Administrator supporting multiple classified government contracts
+ Managing and maintaining secure operations of Linux servers and workstations with minimal impact to engineering stakeholders
+ Supporting both physical and virtual Linux hosts
+ Installing, hardening, and patching Linux operating systems
+ Able to partition disks, create logical volumes, create file systems and mount file systems in Linux environments
+ Read, modify, and create shell scripts as needed
+ Understand basic LDAP and DNS concepts and be able to query these services for information and troubleshoot issues in Linux environments
+ Effectively perform basic job functions with minimal management oversight
+ Clearly communicate and coordinate with stakeholders (end users, security, and management)
+ Maintain security audit and logging information on all classified networked and standalone computers as directed by the Information Systems Security Manager (ISSM)
+ Install, configure, and maintain COTS software in Linux environments
+ Conform to security mandated approval and configuration management processes (Change Control Boards, Security Impact Analysis)
+ Perform technical research on Information Technology topics, as requested, and produce presentations and recommendations.
+ The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, and collaborative/team settings across all levels.
Note: Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
**Basic Qualifications:**
+ Associate's degree and 7 years of experience OR Bachelor's degree and 5 years of experience OR Master's degree and 3 years of experience; 9 years of related experience may be considered in lieu of degree.
+ Active DoD Top Secret clearance.
+ Ability to obtain a Sensitive Compartmented Information (SCI) access level as a condition of continued employment.
+ IAT Level II certification (ex: Security+ CE) or greater.
+ Experience managing Linux server environments (including RedHat, CentOS, Debian, or Ubuntu).
**Preferred Qualifications:**
+ Bachelor's or Master's Degree in IS related field
+ Active DoD Top Secret/SCI Security Clearance
+ DoD 8570 Certification in a primary OS (Windows, Linux, Cisco)
+ Experience operating under and managing systems within NISPOM Chapter 8, DCID 6/3-ICD 503, RMF, STIG, JAFAN, or JSIG information system environments
+ Red Hat Enterprise Linux System Administration certification(s)
+ Red Hat Satellite Server (version 5 and version 6) configuration and usage experience
+ Solid understanding of remediating Linux security vulnerabilities
+ Identity Management (Single Sign-On, LDAP) experience
+ Experience integrating Linux operating systems into Windows Active Directory
+ Experience with host-based firewall implementations and configurations
+ Experience building custom "rpm" software packages for Red Hat platforms
+ VMware vSphere (6.x or higher) configuration and management experience
+ Experience with SAN administration (preferably NetApp ONTAP 8.x or higher)
+ Solid understanding of Enterprise storage concepts to include all commonly used RAID levels
ESCSO
ESSysAdmin
**Salary Range:** $90,600 - $136,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-San Diego) Principal Cyber Systems Administrator2024-03-18T06:15:45-04:00https://northropgrumman.jobs/1C1B208987084E97A3E484E7A164047F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is a trusted provider of mission-enabling solutions for global security. Our organization is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter.
We are looking for you to join our team as a Principal Cyber Systems Administrator based out of San Diego, CA. As a Principal Cyber Systems Administrator at Northrop Grumman, you will have a challenging and rewarding opportunity to be a part of our Enterprise-wide digital transformation. Through the use of Model-based Engineering, DevSecOps and Agile practices we continue to evolve how we deliver critical national defense products and capabilities for the warfighter.
**What You'll get to Do:**
You will also be in charge of four labs ensuring lab equipment operability, ensuring test equipment calibration, and ensuring the labs organization and seamless operations. Our success is grounded in our ability to embrace change, move quickly and continuously drive innovation. The successful candidate will be collaborative, open, transparent, and team-oriented with a focus on team empowerment & shared responsibility, flexibility, continuous learning, and a culture of automation.
**Roles and Responsibilities:**
+ Troubleshooting Program assets to ensure full connectivity of assets in lab environment in support of Program mission.
+ Engineering and implementing solutions to accommodate requirements from authorized Program Personnel to enhance capabilities in the lab space
+ Maintaining Program assets to include patching, security configuration hardening, and updating policies and procedures to aid in the Lab's ARCHER record's annual authorization
+ Coordinating with Program personnel and IT Security to ensure Lab records on ARCHER are complete and meet the requirements set by NG Enterprise
+ Sustaining all program test equipment location, movement, requests, operability, calibration, swap out & as required sanitization of TE for closed areas. This also requires coordinating/generating/submitting Priority Calibration Requests, Lost Tool Reports, Equipment Performance Reports & Allowable Extension Requests through the Equipment Asset Management team for approval.
+ Maintaining program/IRAD workstation assignment and timelines within the lab areas, by updating/tracking a working spreadsheet, that aligns with Visio lab layouts for each lab.
+ Preparing program start up - discussing the needs via phone/email/lab meetings with Program Manager and/or IPT lead for timelines, test equipment, lab stocked supplies, PC workstations etc.
+ Program tear down - breaking down test sets, collecting/turning in all test equipment, cleaning workstation area, re-collecting & restocking all provided supplies back into stores.
+ Coordinating/scheduling/escorting maintenance workers via calls, maintenance request submittal & lab meetings for all lab expansion needed by programs i.e., network, GPS, electrical. This also includes the need for all upkeep or safety issues with regards to the lab units and structure i.e., Power Distribution Units, AC units, Humidifier units, fire extinguishers, overhead lighting, plumbing/ceiling leaks & false floor issues.
+ Approving One Badge security access requests for personnel with the need.
+ Enforcing our companies' policies & standards with all personnel working in lab areas.
+ Keeping common lab supplies stocked and organized in stores. If there is a need for new lab supplies, then spending the time to procure those items needed on our standard suppliers (Grainger/CDW/Staples) through the iBuy process. Picking up delivered orders from the receiving dept on campus.
+ Fulfilling quarterly internal audits & providing any required corrective action that is needed for assigned lab areas. Also, coordinating pick up for all solder waste materials.
+ Smock distribution for engineering personnel.
**Qualifications:**
**Basic Qualifications for Principal Cyber Systems Administrator:**
+ Bachelor's Degree with 6 years of experience; or 4 years experience with a Master's (MS) degree; a n additional 4 years of relevant experience/education can be considered in lieu of a Bachelor's Degree.
+ Knowledge of Windows management
+ Knowledge of Windows LDAP, VMWare, and SAN storage systems.
+ **CLEARANCE:** Candidate must currently have, and be able to maintain an active U.S. Government DOD Secret Clearance
**Preferred Qualifications:**
+ DoD 8570 Level 2 Certification, such as Security+ CE
+ Experience with Microsoft Server, Windows domain architectures, Windows Active Directory, LDAP, VMWare, and knowledge of SAN storage systems, Red Hat Linux Operating System experience and/or certification for current versions
+ Knowledge of Windows management
+ Knowledge of Windows LDAP, VMWare, and SAN storage systems.
+ Red Hat, Linux+ or similar certification
+ Experience with Ansible
+ Knowledge of environmental monitoring tools (HBSS/Solar Winds/Splunk)
+ Experience with creation and deployment of system images in an enterprise environment.
**Salary Range:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-NE-Bellevue) Staff Engineer Software (AHT)2024-03-18T06:15:44-04:00https://northropgrumman.jobs/CDB2E12A5D1942159250EB8BB1EB861A26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Defense Systems (NGDS), Bellevue, NE** is currently seeking a **Staff Engineer Software** . The successful candidates will demonstrate a broad skill set balanced between interpersonal and technical capabilities achieving sustainable quality products. They will have a track record as an effective communicator and problem solver who is able to develop and maintain good working relationships with internal and external stakeholders. The selected candidates will participate in the entire software development lifecycle with a concentration in software engineering. The candidates will work closely with other software developers accomplishing the following:
+ Complete work independently and as a part of an Agile team
+ Support or lead team in completing necessary software on schedule
+ Solve complex problems through innovative and creative solutions
+ Collaborate with software designers and/or software engineers in the planning, design, development, and utilization of software systems
+ Regularly demonstrate progress to customers
**Basic Qualifications for a Staff Engineer Software:**
+ One of the following:
+ A high school diploma with a minimum of 18 years of software development experience
+ A bachelors in science degree in a STEM field with a minimum of 14 years of software development experience
+ A masters in science degree in a STEM field with a minimum of 12 years of software development experience
+ A PhD in a STEM field with a minimum of 9 years of software development experience
+ Full Stack Web Development or Object Oriented programming experience
+ Working knowledge of the Agile development processes
+ Strong interpersonal skills to communicate effectively with small technical teams
+ Ability to prioritize and adjust tasks to accomplish project results
+ Ability to comprehend and analyze complex problems and develop solutions
+ Ability to get a DoD Top Secret Clearance
**Preferred Qualifications:**
+ Current Top Secret clearance
+ Experience with web development frameworks such as React or Angular
+ Experience with Relational, Graph, or Document databases
+ Experience with:
+ TypeScript
+ Java
+ Python
+ Docker
+ Elastic
+ Bootstrap
+ Jira
+ DevOps / DevSecOps experience
+ Continuous Integration (CI) / Continuous Deployment (CD)
+ Software Security Tools
+ HP Fortify
+ SonarQube
+ Setting up Jenkins Builds
+ Experience with microservices, swagger and API definitions
+ Experience developing microservices using the Spring Framework
+ Demonstrated use of Integrated Development Environments (IDEs) and configuration management (Git, Bitbucket)
+ Experience working with Jira and Jenkins
+ Experience working in Agile software development environment
+ Ability to obtain a Top Secret Clearance
**Salary Range:** $142,500 - $213,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(GBR-Manchester) Senior Business Analyst2024-03-18T06:15:43-04:00https://northropgrumman.jobs/6C29A82B9C884F32AB877AC8702B6CFC26**Your Opportunity to Define Possible. Our Opportunity to Deliver the Nation's Security. Together.**
**Salary:** £68,400 - £92,340
**Role clearance type:** Must be able to obtain and maintain highest UK government clearance
**Location:** Manchester, Cheltenham or Lon UK
**About Your Opportunity:**
Our focus is the successful delivery of projects to our customers and as a Business Analyst working with our customers on project activities. The purpose of this role is to work with internal and external customers, to obtain a clear understanding of user requirements and working with all stakeholders to effectively deliver to needs or provide best practice guidance for solutions ensuring consistency with NGUK strategy, standards, policies and processes.
Utilising knowledge and experience of project management processes, act as the dynamic primary point of contact for internal and external stakeholders, understanding the need to act with a sense of urgency to meet customer needs.
**Your Benefits:**
+ **Flexible working schedules -** we offer flexible and hybrid working arrangements. Talk to us at the application stage about any scheduling preferences you may have.
+ **Flexible Benefits Package** - choose which NGUKL benefits you want to satisfy your personal needs. Core Benefits provided for you are Healthcare, Dental, Life Assurance and Pension. Benefits you can flex include Critical Illness Cover, Health Cash Plan, and Health Assessments.
+ **Employee Incentive Programme -** exceptional performance is recognized through our annual incentive programme which is awarded to top performers who excel.
+ **Career Development -** opportunity for ongoing professional development and career growth opportunities.
**As a Business Analyst, you will** ;
+ Be deployed to work alongside NG's delivery teams working in an agile manner to act as the key interface between the delivery teams and the core customers business.
+ Be responsible for building a mature understanding of the customer's organisation to capture, manage, and facilitate sign off of business requirements for your core delivery area, developing an agile backlog of requirements.
+ To be achieved through leading / facilitation of key workshops, meetings, and interviews with a range of stakeholders from business users through to engineering leads capturing these requirements at both the business and technical levels.
+ Ensure these requirements are correctly translated into structured form such as use cases, functional requirements, and interface designs etc for delivery to be effectively undertaken.
+ Support the incremental delivery of the defined capability in accordance with the Agile methodology including using SAFe.
+ Work with the customer business to build a short term roadmap for the products you are supporting, articulating all associated risks and dependencies in order to drive the correct prioritisation of the captured requirements.
+ Support the Customer through the lifecycle of a product, understand product dependencies, and be able to adapted to the ever changing prioritises, analyse the impact of these changes on the current development roadmap.
+ Act as a proxy product owner where necessary to plan and prioritise work on behalf of the Customer.
**Essential / experience**
+ Knowledge of analytical techniques and methodologies to define business problems;
+ Experience of requirements capture and management
+ Strong verbal and written communications skills
+ Skills in influencing and negotiation methods and techniques
+ A good understanding of available and emerging IT technologies
+ An ability to understand how IT supports our customers' requirements
+ Capable of dealing with conflicting priorities between different specialist groups within a fast paced Agile environment
+ Ability to communicate effectively at different levels within the organisation, including senior management, operations personnel and customers
**About Our Responsibilities:**
Our customers operate in unique environments which offer new and exciting challenges every day, cultivating a place where you can learn and thrive, working alongside the best minds in industry. We'll give you space to develop your career, where your ideas can shape the future of our dynamic business.
We promote collaboration to achieve more than we could imagine, together. And w ithin a respectful and inspirational environment, we value what you say and do.
**How to Apply:**
**Interested in our opportunity?**
**Yes** - then simply submit your application online. Your application will be reviewed by one of our expert recruiters who'll then respond advising you of the outcome and next steps for successful candidates.
**Possibly, I'd like to find out more** - then connect direct with talentenquiries@uk.ngc.com , where one of our recruitment business partners will be happy to support you with any enquires.
Background checks and potentially security clearance form part of the recruitment process, our team will inform you of the procedures when required.
**Northrop Grumman UK:**
Work with a global brand that makes a real contribution to our nation's security and future. At Northrop Grumman UK, the brightest minds come together to push the boundaries and Define Possible. As leaders in the digital transformation of Aerospace, Defence and Intelligence we are providing ground-breaking outcomes for our customers.
**UK Cyber & Intelligence Business:**
Our UK Cyber & Intelligence business combines modern software development approaches with a rich heritage and experience in the Defence and security sectors. Our customers have complex and sensitive data and information requirements requiring a mission partner who quickly understands the context, delivering and sustaining a portfolio of challenging technology projects at scale and pace, supporting them through an ambitious digital transformation programme.
**Find out more** : https://www.northropgrumman.com/careers/job-search-united-kingdom/
\#LI-CJ1
\#LI-HYBRID
Northrop Grumman is committed to equality and diversity in our workplace. Northrop Grumman provides equal employment opportunity to all employees and applicants without regard to an individual's protected status, including race/ethnic origin, color, nationality, national origin, ancestry, sex/gender, gender identity/expression, gender reassignment, sexual orientation, marriage/civil partnership, pregnancy/maternity, religion or belief, creed, age, disability, genetic information, or any other protected status or characteristic.
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.(USA-MN-Plymouth) Earned Value Mgt (EVM) Principal Program Cost Schedule Control Analyst/Sr. Principal Program Cost Schedule Control Analyst2024-03-18T06:15:40-04:00https://northropgrumman.jobs/AB4F6F0A89E44847A256A2A5C4F1C1D926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future. Northrop Grumman designs, builds and delivers space, defense and aviation-related systems to customers around the world. Our products include launch vehicles, propulsion systems, missile products, subsystems and defense electronics, precision weapons, armament systems and ammunition, satellites and space components and services, and advanced aerospace structures.
Northrop Grumman Weapons System Division is currently seeking a **(EVM) Principal Program Cost Schedule Control Analyst/Sr. Principal Program Cost Schedule Control Analyst** to join our team in **Plymouth, MN** . T **he position will be ideally in Plymouth, MN however, may consider hybrid work schedule.**
**Position Summary:**
+ Work with Program Management, IPTs/CAMs, and functional business analysts to perform detailed cost/schedule planning, earned value variance analysis, identification of cost/schedule problems, and developing corrective action plans
+ Interface with program finance and program office for monthly financial forecasts, issues, concerns, and status
+ Strictly adhere to American National Standards Institute/Electronic Industries Alliance Standard 748 (ANSI/EIA-748), Earned Value Management Systems (EVMS) and associated internal company procedures
+ Develop and maintain program artifacts and documentation
+ Integrate data from Microsoft Project/Open Plan to Cobra and ensure accuracy
+ Use Cobra to create, analyze, and submit monthly Integrated Program Management Report (IPMR) to customer in accordance with Earned Value Management standards, as well as, preparing monthly EV metrics for internal/external meetings
+ Track spending to ensure adequate funding is maintained, financial metric development, & analysis and reporting in support of monthly program reviews
+ Prepare Estimate at Completion in support of Corporate requirements
+ Facilitate and conduct EVM compliance reviews with DCMA and other government oversight agencies (Internal Baseline Reviews/Joint Surveillance Reviews), as well as other related audits
+ Work with program team and support required program meetings - Assist Financial Shared Services (FSS) with invoicing - Maintain project detail with Deltek/Costpoint
**Basic Requirements Principal Program Cost Schedule Control Analyst (Level 3):**
+ This position emphasizes skills and experience. Will consider high school diploma or equivalent (GED) with at least 10 years of experience and knowledge of progressive experience in finance. Bachelor's degree with 6 years or Master's degree with 4 years of experience and knowledge of progressive experience in Accounting, Finance or related field
+ Knowledge of applications in a computerized environment, including spreadsheet applications and data bases (e.g. MS Excel, MS Access, MS Power Point).
+ Ability to extract, compile, document and analyze data.
+ Ability to work in a team environment and build relationships with others.
+ Possess excellent analytical, problem solving and reporting skills.
+ Independent self-starter and proactive contributor. Seeks to continually improve business processes and add value.
+ Excellent communication and presentation skills.
+ Ability to prioritize multiple assignments in order to meet deadlines. Organize deliverables in a clean and presentable format.
+ Be able to obtain and maintain a U.S. Government security clearance (U.S. citizenship is a pre-requisite)
**Basic Requirements Sr. Principal Program Cost Schedule Control Analyst (Level 4):**
+ This position emphasizes skills and experience. Will consider high school diploma or equivalent (GED) with at least 14 years of experience and knowledge of progressive experience in finance. Bachelor's degree with 10 years or Master's degree with 8 years of experience and knowledge of progressive experience in Accounting, Finance or related field
+ Knowledge of Earned value management systems (EVMS)
+ Knowledge of applications in a computerized environment, including spreadsheet applications and data bases (e.g. MS Excel, MS Access, MS Power Point)
+ Ability to extract, compile, document and analyze data
+ Ability to work in a team environment and build relationships with others
+ Possess excellent analytical, problem solving and reporting skills
+ Independent self-starter and proactive contributor. Seeks to continually improve business processes and add value.
+ Excellent communication and presentation skills.
+ Ability to prioritize multiple assignments in order to meet deadlines. Organize deliverables in a clean and presentable format
+ Be able to obtain and maintain a U.S. Government security clearance (U.S. citizenship is a pre-requisite)
**Preferred Requirements:**
+ Prior team participation experience (professional/community/extracurricular)
+ Experience in developing and delivering presentations and leading small groups
+ Aerospace and/or DoD intern/working experience
+ Experience executing programs with contractual EVMS requirements
+ Prior Experience with Deltek financial applications (CostPoint, Cobra, OpenPlan, PM Compass)
**Travel:**
Travel may be required 10% of the time.
**Salary Range:** $84,600 - $127,000
**Salary Range 2:** $104,900 - $157,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Principal Supply Chain Subcontract Specialist (Roy-Utah or Huntsville-Alabama or San Diego-California) #11963/12019/12020 & 120212024-03-18T06:15:40-04:00https://northropgrumman.jobs/819E8EF23938435D8BCCC027DC92230226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is seeking Principal Supply Chain Subcontract Specialists. This position is in support of the Sentinel Program aka Ground-Based Strategic Deterrent (GBSD) Engineering and Manufacturing Development (EMD) contract.
This position may be located in any of the following locations:
+ Roy, UT
+ Huntsville, AL
+ San Diego, CA.
9/80 work schedule. Hybrid work location schedule may be available for this position.
The purpose of the GBSD Program is to replace the current ICBM system, including the weapon systems command and control; flight, launch and ground systems; and cyber elements.
This position will perform the following functions:
+ Source and procure specialized goods and services
+ Own contractual management for assigned suppliers
+ Responsible for source selection from solicitation to closeout phase of resulting subcontracts
+ Coordinate and collaborate with key stakeholders such as Contracts, Engineering, Quality, Supplier Development, Small Business liaison Officer, Planning, and the Program Office
+ Work closely with Subcontract Management to increase subcontracts related skills and acumen
+ Create solicitation packages based on Program requirements, as stated in formally released statements of work, specifications, and Quality documents
+ Build compliant subcontract justification package
+ Participate in Peer, Subcontract Management and Compliance reviews for soundness of judgement and overall adequacy and accuracy of work product
+ Frequent internal and external (supplier) interaction
**Basic Qualifications:**
+ Bachelor's Degree and 6 years of relevant experience in subcontracts, buyer, supply chain, or procurement. Or in lieu of a degree, 10 years of relevant experience in subcontracts, buyer, supply chain, or procurement.
+ Strong knowledge of Microsoft Office Applications
+ Understanding of and ability to process supplier invoices according to agreed payment terms
+ Must be able to obtain and maintain a Secret Clearance
**Preferred Qualifications:**
+ Master's Degree
+ Experience with SAP
+ Active Secret Clearance
+ Experience in supporting all aspects of subcontract management from subcontract award through contract closeout process
**Salary Range:** $76,600 - $133,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Sunnyvale) Principal Production Planning Scheduler/Sr. Principal Production Planning Scheduler2024-03-18T06:15:38-04:00https://northropgrumman.jobs/57D8AD09E35B4261B3135A1CE13CBEFE26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Marine Systems (NGMS) is seeking a **Principal Production Planning Scheduler/Sr. Principal Production Planning Scheduler** for our **Sunnyvale, CA** location.
**What You'll get to Do:**
+ Plans, prepares, issues and controls production schedules and tracks material requirements to ensure a controlled flow of approved materials timed to meet production requirements.
+ Schedules equipment and personnel, confirms material supply and demands, and prepares work orders or purchase requests based on a master production schedule, shop load and inventory requirements.
+ Review and track internal status of customer product. Participate in internal production meetings for assigned work center area and communicate status changes to program management in a timely manner.
+ Take action with correct internal teams to promptly resolve any program schedule issues.
+ Coordinates interdepartmental activity with production coordinator, quality assurance, manufacturing, purchasing, engineering, and MRP planning.
+ Shift start: 6:30am.
This requisition may be filled at either a Principal Production Planning Scheduler level or a Sr. Principal Production Planning Scheduler level.
**Basic Qualifications for a Principal Manufacturing Production Scheduler:**
+ Bachelor's degree with 6+ years directly related work experience in production control, production planning, or work center scheduling specifically for onsite manufacturing or in machine shop environment; Master's Degree with 4+ years of experience.
+ 2+ years hands on experience with Shop Floor or Machine Shop Planning, utilizing MRP system; SAP preferred.
+ Must have demonstrated analytical skills required for determining priorities, lead times, and shop floor schedules.
+ Must have demonstrated ability to clearly express oneself verbally and in writing in the English language using good grammar and vocabulary. Excellent verbal and written communication skills to include excellent presentation, project and organizational skills.
+ Must have demonstrated Proficiency with Microsoft Office Suite; Excel, Word, PowerPoint (i.e. working with pivot tables, VLOOKUP, and conditional formatting)
**Basic Qualifications for a Sr. Principal Manufacturing Production Scheduler:**
+ Bachelor's degree with 10+ years directly related work experience in production control, production planning, or work center scheduling specifically for onsite manufacturing or in machine shop environment; Master's Degree with 8+ years of experience.
+ 2+ years hands on experience with Shop Floor or Machine Shop Planning, utilizing MRP system; SAP preferred.
+ Must have demonstrated analytical skills required for determining priorities, lead times, and shop floor schedules.
+ Must have demonstrated ability to clearly express oneself verbally and in writing in the English language using good grammar and vocabulary. Excellent verbal and written communication skills to include excellent presentation, project and organizational skills.
+ Must have demonstrated Proficiency with Microsoft Office Suite; Excel, Word, PowerPoint (i.e. working with pivot tables, VLOOKUP, and conditional formatting)
**Preferred Qualifications:**
+ Bachelor's degree in Industrial Engineering, Manufacturing Engineering, or Business Management.
+ CPIM or APICS training.
+ Lean manufacturing or Six Sigma process improvement experience.
+ Proficiency in Microsoft Project.
+ Experience with Earned Value Management a plus.
**Salary Range:** $80,600 - $121,000
**Salary Range 2:** $100,000 - $150,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-LA-Belle Chasse) F-18 Flight Operations 32024-03-18T06:15:35-04:00https://northropgrumman.jobs/A715B68BF8314D088377C63D5836801126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At **Northrop Grumman** , our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
We are currently seeking a **Flight Operations Technician 3** based in New Orleans, Louisiana. In this position, you will perform maintenance operations on F-18 aircraft, F-18 aircraft equipment, F-18 engines, F-18 components, and systems in accordance with DOD, manufacturer, company, supplier and other directives in support of aircraft modification.
Performs a combination of responsibilities consisting of complex mechanical duties in all fields of specialization for the flight line, including power plant, run-in plumbing, hydraulics, rigging, and controls, and structures and surfaces on the complete airplane. Performs mechanical and operational duties for pre-flight and post-flight service and inspection and/or in preparation of customer acceptance of completed airplanes. Performs operations such as disassembling, repairing, replacing, rechecking, testing, re-rigging, servicing, and re-installing, as necessary. Operates aircraft power plants and ground equipment during acceptance checks, trouble shooting and routine maintenance of aircraft. May troubleshoot malfunctions/defects and schedule/modify maintenance procedures. Maintain practical knowledge of certified aircraft and ground support systems such as controls, fuel, hydraulic, pneumatic, electronic, fire control and armament components.
**Basic Qualifications:**
+ Must have a High School Diploma or equivalent (GED) and at least 4 years of aircraft maintenance experience.
+ Must be able to obtain a DoD Secret level security clearance once hired; and have the ability to maintain that clearance for continued employment.
+ Able to read and interpret schematics, assembly drawings, process specifications, technical manuals, written instructions, test procedures, etc.
+ Able to perform assigned duties on all shifts without encumbrances due to weather or physical location and conditions.
**Preferred Qualifications:**
+ Fighter type aircraft maintenance experience
+ A&P license
+ U.S. Navy or USMC background
+ Active Secret DoD clearance
**Salary Range:** $54,400 - $90,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Sunnyvale) Manager Manufacturing Engineering CI2024-03-18T06:15:32-04:00https://northropgrumman.jobs/EE388E0E3B304EEE893B35189AC6DCB426At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
In this role, the candidate will support the manufacturing operations team on the journey to a world class organization. This manager will manage the team responsible for implementation of technical improvement tools and processes necessary to eliminate waste and loss from the organization operations.
This position works closely with senior site leadership to support attainment of operating targets for the key operating parameters of safety, quality delivery cost and moral. This individual will be heavily involved in chartering the strategic vision and right sizing the tactical implementation of current Northrop manufacturing operations model.
The ideal candidate brings a technical functional depth and credibility and possess the requisite executive leadership traits and business acumen to interface with the senior operations leadership and executive management team and develop, communicate, lead and motivate. The operating system requires a leader with a passion for implementing change management initiatives in operations, and rigorous pursuit of excellence in all manufacturing and business initiatives.
**Responsibilities:**
+ Support operations leadership to ensure NG Operations Methodology is applied in a way that supports business performance and true alignment of business goals and objectives.
+ Lead and support the deployment of the recently launched Operations System.
+ Support, mentor and guide the technical application leaders in the implementation of the methodology and development of materials and best practices.
+ Guide the organization towards a future that utilizes the Northrop Operations methodology.
+ Develop organization knowledge of the Operating System and increase ownership and autonomy driving business results.
+ Effectively communicate across multiple organizations to align a strategic and tactical path to success for manufacturing operations at the site.
+ Partner with leadership to establish strategies for implementation, expansion, and sustainment.
+ Facilitate development of key enablers to cultural readiness and acceptance of change through formal and informal change management methods.
+ Promote methods that ensure all employees are respected, developed and engaged to their fullest potential.
+ Identify and eliminate functional and organizational roadblocks to the operating system maturity.
+ Support management in the implementation of the leadership blocks ensuring system sustainability.
**Basic Qualifications:**
+ A Bachelor's degree and 10 years of relevant work experience (or 8 years of relevant work experience with a Master's degree) including 5 years of lean or CI.
+ Minimum of 10 years of solid leadership experience.
+ Ability to obtain and maintain a Secret Clearance per business requirements (US citizenship required).Experience with SAP or other Enterprise Resource Planning (ERP) system desired.
+ Advanced skills with MS Office applications including Excel, Word, Project, and Power Point.
+ Candidate must have experience in data analysis, database management and data management.
+ Candidate must have experience in Root Cause Corrective Action/Problem Solving.
+ Experience in operations environments.
+ Strong communication (written/oral), facilitation and training skills at all levels of the organization.
+ Ability to work in different settings and environments.
**Preferred Qualifications:**
+ Experience in a World Class Manufacturing Environment.
+ Black Belt or Lean Sensei Certification.
+ Master's degree in Engineering or Business Management.
+ Active Secret clearance.
+ Lean Manufacturing Experience or Certification.
+ STEM or Finance Degree is preferred but not required.
+ PMI Certified.
+ Experience in program planning and scheduling.
+ Experience with Project Management and the execution of large scale, integrated projects across multiple functions and organizations.
**Salary Range:** $122,200 - $183,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Principal Linux Systems Administrator2024-03-18T06:15:31-04:00https://northropgrumman.jobs/E9967C287A13471882717F1ECEA88AC126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Classified Solutions is seeking a well-qualified Linux Administrator to join its dynamic team of technical professionals in Linthicum, MD. Please note that due to the classified nature of this position, the selected candidate will be required to work on-site, full-time, at our Linthicum, MD campus, and that this is not a remote position. Roles and responsibilities will include but not be limited to the following:
+ Perform as primary Linux Systems Administrator for a classified government contract.
+ Communicate effectively at all levels of the organization, with internal and external customers, in written and verbal format.
+ Maintain smooth operation of multi-user computer systems, including coordination with network, software, and system engineers, PC desktop technicians, project managers, end users, and customer and IT management.
+ Support multiple networks while ensuring necessary administration tasks are completed and directing others as necessary.
+ Analyze internal and external customer requirements and determine equipment and software requirements for solutions to problems by means of automated systems.
+ Recommend and implement system enhancements that will improve the performance and reliability of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Provide backup and recovery services, manage file systems and disk space, and manage virus protection on a routine basis.
+ Create and maintain user and computer accounts and modify file permissions and security access lists.
+ Test new releases of products to ensure compatibility and minimize user impact.
+ Develop and document technical processes and procedures as needed.
+ Make recommendations to purchase hardware, software, and system components.
+ Interact, meet, discuss, and troubleshoot issues with vendors, and evaluate vendor products, services, and suggestions.
+ Adhere to strict Information Systems security guidelines in all cases.
+ Maintain security audit and logging information on all classified networked and standalone computers as directed by the Information Systems Security Manager (ISSM).
+ Prepare security documentation for input to Computer Security.
+ Report project status as required for all recurring and non-recurring efforts.
+ Work under minimal direction and independently determine and develop approach to solutions.
**Basic Qualifications** :
+ Associate's degree and 7 years of experience, or Bachelor's degree and 5 years of experience, or a Master's degree and 3 years of experience; a High School diploma or equivalent with 9 years of experience may be considered in lieu of a completed degree.
+ Experience operating under and managing systems within NISPOM Chapter 8, DCID 6/3-ICD 503, RMF, STIG, JAFAN, or JSIG information system environments.
**Technical Abilities** :
+ Experience installing and managing Linux operating systems in a server environment.
+ Understanding of installing operating system patches.
+ Experience installing, configuring, and maintaining computer hardware in a networked environment.
+ General Linux troubleshooting.
+ End user support.
+ Ability to support tier 2 and 3 helpdesk tickets .
+ Experience operating under and managing systems within NISPOM Chapter 8, DCID 6/3-ICD 503, RMF, STIG, JAFAN, or JSIG information system environments.
**Non-Technical Abilities and Certifications** :
+ IAM Level I certification (ex: Security+ CE) or greater is required on Day 1; maintaining the required certification will be a condition of continued employment.
+ Experience working in a team of IT professionals.
+ Ability to travel as needed.
+ Ability to lift equipment weighing up to 40 pounds.
+ Ability to work after hours and weekends as needed.
**Clearance and Access** :
+ Active DoD Secret security clearance required on Day 1; maintaining the required security clearance is a condition of continued employment.
+ The selected candidate will be required to obtain and maintain a Special Access Program (SAP/SAR) clearance as a condition of continued employment.
**Preferred Qualifications** :
+ Active DoD Top Secret security clearance.
+ Understanding of basic networking, including subnets, routing, and VLANs. Cisco experience and/or certification.
+ Windows server management experience.
+ Windows AD, LDAP, VMWare, and knowledge of SAN storage systems.
+ Red Hat certification for current version.
+ Experience using Ansible.
+ Knowledge of Kubernetes.
+ Solid understanding of remediating security vulnerabilities.
+ VMWare experience with current version.
+ Knowledge of environmental monitoring tools (HBSS/Solar Winds/Splunk).
+ Rudimentary Scripting experience.
+ Experience with the creation and deployment of system images in an enterprise environment.
+ Experience with Certification & Accreditation process.
ESSysAdmin
**Salary Range:** $93,000 - $139,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) Dimension Control Technician 3/4 - Night Shift2024-03-18T06:15:30-04:00https://northropgrumman.jobs/84EA2D6A4B724BEFB0E3666DDFC4D73D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems Business Unit (ASBU) has openings for a Night shift **Dimension Control Technician Level 3 or Level 4** to join our team of qualified, diverse individuals. This position will be located in **Clearfield, UT.**
The Dimension Control Technician will be a part of the Tool Die Making team within the Metrology department and will have considerable knowledge of the job with substantial acquaintance with an understanding of general aspects of the job with a broad understanding of the detailed aspects of the job and be able to perform more advanced functions and resolve routine questions and problems.
The ideal candidate for this role will have excellent verbal and written communication skills with the ability to communicate, and collaborate across all levels, will thrive in a fast-paced team-oriented work environment with high expectations, enjoy significantly diverse assignments, demonstrate a high attention to detail to ensure accuracy of the product and have high integrity to self-report errors to a supervisor when detected. If you are comfortable in this high-energy environment and enjoy having a variety of tasks to keep you engaged, this is the opportunity for you!
****This position requires a willingness to work any shift including weekends and requires onsite presence due to the nature of the role.**
**Available Shifts:**
**NOTE:** These shifts include a shift differential **in addition to** base pay **.**
+ B Shift Production: 4PM - 2:30AM, Monday-Thursday (4x10's)
**Essential Functions / Responsibilities:**
+ Lay out, fabricate, and assemble a variety of standard and nonstandard major jigs, fixtures, tool masters, master tooling gauges, and related tooling involving compound angles and complex contours where the establishment and coordination to exacting tolerances of numerous tooling holes and reference points between several planes are required
+ Plan work to be performed and determines methods and sequence of operations working from tool design drawings or own designs
+ Sets up and operates optical instruments to check overall and detailed alignment, fit, or adjustment of assemblies
+ Develop and designs holding devices and jig and fixture details
+ Prepare operational sequences and shop drawings covering parts fabrication and assembly to be performed by others
+ May monitor and verify quality in accordance with statistical process or other control procedures
+ Collaborate with engineers, machinists, and other production personnel to ensure jigs and fixtures are integrated seamlessly into the manufacturing process
+ Communicate with team members and supervisors to address any issues, concerns, or improvements related to jig and fixture design, fabrication, or assembly
+ Participate in continuous improvement initiatives to optimize jig and fixture performance and overall manufacturing efficiency
+ Perform routine maintenance on jigs and fixtures, including cleaning, lubrication, and part replacement as necessary
+ Identify and repair damaged or worn components to ensure the continued accuracy and functionality of jigs and fixtures
+ Develop and implement preventative maintenance plans to maximize the lifespan and performance of jigs and fixtures
**This position may be filled as a Dimension Control Technician Level 3 or Dimension Control Tech Level 4 based on the qualifications outlined below.**
**Basic Qualifications Level 3:**
+ High school diploma or equivalent with 4 years of related experience with tool building and laser tracking in a manufacturing environment
+ Working knowledge of Verisurf
+ Experience with Laser trackers (FARO-LEICA-API)
+ Experience in fabrication, milling machining, or power tools
+ Ability to frequently move and position objects weighing 35 pounds and ascend and descend ladders
+ Ability to work inside and outside in a warehouse environment and a shop floor environment
+ Ability to work within MS applications i.e., MS Word, Excel, and Adobe PDF
+ Ability to work any shift including nights and weekends
+ Ability to obtain and maintain a DoD Secret Security Clearance and Special Access Program (SAP) clearance within a reasonable period of time as determined by the needs of the business, and prior to commencement of employment
**Basic Qualifications Level 4:**
+ High school diploma or equivalent with 6 years of additional education and/or related experience with tool building and laser tracking in a manufacturing environment
+ Working knowledge of Verisurf
+ Experience with Laser trackers (FARO-LEICA-API)
+ Experience in fabrication, milling machining, or power tools
+ Ability to frequently move and position objects weighing 35 pounds and ascend and descend ladders
+ Ability to work inside and outside in a warehouse environment and a shop floor environment
+ Ability to work within MS applications i.e., MS Word, Excel, and Adobe PDF
+ Ability to obtain and maintain a DoD Secret Security Clearance and Special Access Program (SAP) clearance within a reasonable period of time as determined by the needs of the business, and prior to commencement of employment
**Preferred Qualifications:**
+ Active DoD Secret Security Clearance
+ Active Special Access Program (SAP) clearance
+ U nderstanding of basic coordinate systems and blueprint reading
+ Experience devising reference systems and creating working build models
+ Working knowledge of best practices and care with multiple computer aided metrology systems such as laser trackers, articulated arms, scanners 6DoF (Six Degree of Freedom)
+ Tool and Die or related experience in a Manufacturing environment.
+ Experience in reverse engineering and model building from collected data
+ Knowledge of Integrated Systems Air Frames and Aero Structures
**What We Offer:**
At Northrop Grumman, we are on the cutting edge of innovation -- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer comprehensive benefits including competitive medical and dental options, a great 401K matching program, college education assistance, annual bonuses, 14 Employee Resource Groups inclusive of all employees, and opportunities for career advancement across North America!
**Salary Range:** $29 - $48
**Salary Range 2:** $34 - $56
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-IL-Rolling Meadows) Sr. Principal Software Engineer2024-03-18T06:15:29-04:00https://northropgrumman.jobs/AC082392522D46A0A3D9487E92C76CF526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is a trusted provider of mission-enabling solutions for global security. Our Engineering and Sciences (E&S) organization pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
As a Sr. Principal Software Engineer at Northrop Grumman you will have a challenging and rewarding opportunity to be a part of our Enterprise-wide digital transformation. Through the use of Model-based Engineering, DevSecOps and Agile practices we continue to evolve how we deliver critical national defense products and capabilities for the warfighter. Our success is grounded in our ability to embrace change, move quickly and continuously drive innovation. The successful candidate will be collaborative, open, transparent, and team-oriented with a focus on team empowerment & shared responsibility, flexibility, continuous learning, and a culture of automation.
Northrop Grumman is looking to add to our talented team of Embedded Software Engineers. Our engineers are self-starters who are part of a team of software professionals developing world-class software to meet our customer's high expectations.
The Embedded Software Engineer designs, develops, operates, and maintains software and firmware components and computing systems software to be applied to and integrated with engineering, scientific and manufacturing requirements. Applies the appropriate standards, processes, procedures, and tools throughout the system development life cycle to support the generation of engineering applications and products such as laboratory electronic warfare (EW) and Range training and simulation systems. Interfaces with customers, suppliers, application users and other technical and support personnel.
**Job Responsibilities:**
**-** Develops solutions by studying customer needs, conferring with users, reviewing technical documentation, and maintaining the software development lifecycle.
- Establishes coding standards and design patterns
- Actively drives organizational objectives through collaborative development and shared product objectives.
- Provides level of effort estimates for software development.
- Supports and develops software engineers by providing advice, coaching, best practices, and aides in improving software development processes.
- Ensures high quality software development practices and processes are in place a followed at every level and phase of development.
**Basic Qualifications:**
- Bachelor's degree in a STEM field with a minimum of 9 years of relevant professional work experience OR Master's degree in a STEM field and minimum 7 years of relevant professional work experience or in lieu of a degree, an additional 4 years of experience is required.
- Robust knowledge of C++ specifically in an embedded environment
- Experience with repository and package management tools: Github, Bitbucket
- Experience using automated test tools such as Robot, Selenium
- Knowledge of platform as a service tool such as Docker, Podman
- Knowledge in operating system concepts for embedded systems (Linux or VxWorks)
- US citizenship and must have interim Secret clearance prior to starting. Once started, must have the ability to obtain and maintain an Active Secret Security Clearance.
**Preferred Qualifications:**
- Electronic Warfare design experience
- Understanding of high-speed digital signal processing design.
- Experience on complex systems of systems utilizing parallel processing, distributed processing, multi-core, secure processing.
- Experience with tools such as: DOORS
- Experience using Model Based Engineering, UML, OOAD and OOP
- Experience with interfaces such as: 1553, Ethernet, Serial and/or PCI-E.
- Experience with Agile and/or Rapid prototyping software methodologies
- Experience in embedded software programming on microcontrollers, DSPs or ARM cores embedded in FPGAs/SoCs
- Understanding of Digital Signal Processing (DSP) design
**Salary Range:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-IL-Rolling Meadows) Manager Programs 22024-03-18T06:15:25-04:00https://northropgrumman.jobs/5F2D61CAEFA44992977BE80860BF79A926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Mission Systems sector is looking for a Manager, Programs Level 2 to support the Targeting organization. This position is located in Rolling Meadows, Illinois and is full-time on-site with occasional Hybrid work arrangement.
The primary objective will be the delivery of all contractual requirements on cost and on schedule, while achieving all technical requirements and creating customer intimacy to support value creation strategies and actions. The individual will lead a cross-functional organization aligned to common program performance goals.
Program Managers are responsible for leading all phases of the program life cycle from inception (proposal development) through startup, execution, and completion (contract closeout). Primary responsibilities are the management of cost, schedule, and technical performance of company programs or subsystems and include, but are not limited to:
+ Cultivating customer relationships and intimacy to develop further opportunities within the customer community
+ Developing new business opportunities through long term strategic planning, capture planning, and development of proposals and business plans
+ Establishing a program organization that effectively addresses customer requirements and incorporates the necessary internal and external sub-organizations
+ Leading and directing cross-functional Integrated Program Teams (IPT) to meet program cost, schedule and technical performance objectives
+ Measuring and reporting program performance
+ Delivering presentations to customers, executive management and other program stakeholders
+ Participating in the negotiation of contracts, contract changes, specifications, operating budgets, schedule milestones, and key terms and conditions.
+ Establishing design concepts, criteria, and engineering efforts for product research, development, integration and test.
+ Creation, review and finalization of the program Statement of Work
+ Identification, distribution, tracking, and completion of program requirements
+ Establishment and management of the program and subordinate baselines
+ Development and adherence to budget baselines utilizing Earned Value Management (EVM) or similar cost & schedule control methodologies and tools
+ Identifying, allocating and managing program resources, including workforce planning
+ Managing Government/customer supplied property or information (GFE, CFE, etc.)
+ Managing suppliers to meet program objectives.
+ Adherence to all internal processes, policies, and applicable industry standards
+ Ensuring program team understands and adheres to contract scope, and manages change through control board activities
+ Development and adherence to master plans and schedules
+ Conducting thorough risk & opportunity management practices including identification, mitigation and realization
**Basic Qualifications:**
+ Bachelor's Degree and 9 years, or Master's and 7 years' management experience supporting U.S. Government contracts and customers and/or project management in other industries
+ Experience leading the performance of tasks on schedule, at cost and achieving all requirements as either project lead, integrated program team or cost account manager
+ Demonstrated success leading teams/and or organizations to achieve a common goal
+ SECRET security clearance level required to start.
**Salary Range:** $145,000 - $217,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Sr Principal Supply Chain Subcontract Specialist (Roy-Utah or Huntsville-Alabama or San Diego - California) #119642024-03-18T06:15:25-04:00https://northropgrumman.jobs/9A57030FB64F477DA7AF19A3F0DA905826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is seeking Senior Principal Supply Chain Subcontract Specialists to work in one of the following locations:
+ Roy, UT
+ Huntsville, AL
+ San Diego, CA
9/80 work schedule. Hybrid location may be considered for this position.
This position is in support of the Sentinel Program aka Ground-Based Strategic Deterrent (GBSD) Engineering and Manufacturing Development (EMD) contract. The purpose of the GBSD Program is to replace the current ICBM system, including the weapon systems command and control; flight, launch and ground systems; and cyber elements.
This position will perform the following functions:
+ Source and procure specialized goods and services
+ Own contractual management for assigned suppliers
+ Responsible for source selection from solicitation to closeout phase of resulting subcontracts
+ Coordinate and collaborate with key stakeholders such as Contracts, Engineering, Quality, Supplier Development, Small Business liaison Officer, Planning, and the Program Office
+ Work closely with Subcontract Management to increase subcontracts related skills and acumen
+ Create solicitation packages based on Program requirements, as stated in formally released statements of work, specifications, and Quality documents
+ Build compliant subcontract justification package
+ Participate in Peer, Subcontract Management and Compliance reviews for soundness of judgement and overall adequacy and accuracy of work product
+ Frequent internal and external (supplier) interaction
**Basic Qualifications:**
+ Bachelor's Degree and 10 years relevant experience in subcontracts, procurement, supply chain or buyer. Or in lieu of a degree, 14 years relevant experience in subcontracts, procurement, supply chain or buyer.
+ Strong knowledge of Microsoft Office Applications
+ Understanding of and ability to process supplier invoices according to agreed payment terms
+ Must be able to obtain and maintain a Secret Clearance
**Preferred Qualifications:**
+ Master's Degree
+ Experience with SAP
+ Active Secret Clearance
+ Experience in supporting all aspects of subcontract management from subcontract award through contract closeout process
**Salary Range:** $95,000 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-San Diego) Senior Principal Systems Engineer (San Diego CA; Active Secret Clearance Required)2024-03-18T06:15:22-04:00https://northropgrumman.jobs/7EEFCB8C0BB144CE8B35A5FF18B58B7D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a Senior Principal Systems Engineer to join our team of qualified, diverse individuals within our Systems Engineering organization. This position will be **located in San Diego, CA** .
In this role you will be responsible for coordination and collaboration with diverse engineering design teams to provide imaginative, technical solutions to a wide range of difficult problems in establishing and managing the technical baseline in support of programmatic milestones. You will be executing system integration tasking across the entire lifecycle of a system and integrating new capabilities on the MQ-4C Triton platform. You should also be able to act as the delegate of authority for the Systems Engineering lead. This role will entail leadership of a cradle-to-grave integration and leadership across all SE disciplines including requirements development, use case development, capability (hardware and software) development, integration planning, lab integration, test planning, formal test, verification and fielded test and mission support.
The position requires system thinking and extensive knowledge of development life-cycle processes. Therefore, you must exhibit strong communication skills (written and oral) and support coordination cross-IPT toward the goal of an operationally validated integrated system.
**Essential Functions:**
+ Lead cross-IPT development of system level requirements and use cases
+ Implement requirements verification methodology and traceability
+ Lead development of interface definitions and integration plans
+ Conduct formal integration and support capabilities-based system level testing of fully integrated complex software and hardware subsystems
+ Evaluate integration and test anomalies and assist disposition and correction of issues as found
+ Perform requirements verification and selloff
+ Provide support to flight test and fielded assets
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
E ducational assistance is available to employees who want to continue to learn and develop skills/knowledge. Our educational assistance program supports employee development by providing resources such as:
+ Free Education Advice: Call 855-222-2398 to speak with an experienced advisor to tailor an educational program to your career goals and needs.
+ Tuition Savings at Accredited Schools: Access a network of accredited schools in a variety of locations (and online) to take advantage of reduced tuition costs and waived fees.
+ Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees!
**Basic Qualifications:**
+ Must have a Bachelors of Science degree in a STEM discipline AND 9 years of related professional / military experience to include a background in Systems Engineering OR a Master's degree AND 7 years of related professional / military experience to include a background in Systems Engineering OR a PhD degree AND 4 years of related professional/military experience in Systems Engineering
+ Must have an active DoD Secret clearance or higher (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation)
**Preferred Qualifications:**
+ Programming Skills in C/C++/Python/VBA
+ Familiarity with Triton architecture and interface control documentation
+ Experience supporting field operations including maintenance and mission support
+ Familiarity with Systems Engineering and Design processes
+ Experience testing space/US military products
+ Familiarity working with military specifications/standards
+ Familiarity with TeamCenter PLC and JIRA/Confluence
+ Ability to develop task specific test tools
+ Demonstrated communication and technical writing skills
+ Active TS/SCI clearance
**Salary Range:** $129,700 - $194,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Sunnyvale) Integration Test and Electrical Controls Manager 22024-03-18T06:15:21-04:00https://northropgrumman.jobs/C996CFF3AB1E407098778B0C6431680126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
We are looking for you to join our team as an Integration, Test and Electrical Controls Manager 2 based out of Sunnyvale, CA.
The Integration, Test and Electrical Controls Manager 2 is responsible for providing functional leadership and direction to approximately 10 to 15 System Integration and Test Engineers and Electrical Engineers. This department is the focal point of system integration and test and design development activities in the area of propulsion and power generation equipment for Nuclear Navy. Their expertise includes product development and production support for power electronics and control systems. They produce work products such as engineering drawings, technical reports and test plans. The role is dual-hatted; responsible for both test execution and electrical issue resolution (non-conformances) of marine machinery hardware.
As an engineering manager, the candidate will work to ensure all staffing needs are met, drives talent development, and instill a culture of technical ownership amongst the system integration and test and electrical engineers. The responsibilities include managing the planning, preparation, execution, data acquisition, data analysis, and reporting associated with machinery tests and maintaining and developing the necessary resources, tools and capabilities to support and execute these tests. Further responsibilities include managing the resolution of electrical issues occurring during production, test, and in service. The hardware is in Sunnyvale and at field sites.
The candidate will lead a diverse and distributed team comprised of multiple skill-sets and must be able to effectively communicate technical issues and solutions with functional and program leadership. A successful track record of program performance, interpersonal team building skills, and management experience is a must.
Responsibilities also include:
+ Manage day-to-day activities for engineering tests, including test planning, test procedure preparation, test setup, test execution, data acquisition, data analysis, test report preparation, reporting, and records retention.
+ Manage budgets and provide guidance to Engineering regarding test costs with focus on implementing lean principles to improve efficiency and reduce cost.
+ Manage the design, construction and installation of all testing equipment and ensure proper maintenance of test equipment and instrumentation.
+ Manage the resolution of emergent issues in a timely manner while keeping upper management informed of the progress including participating in root cause and correction action investigations.
+ Manage non-conformance resolutions in the areas of non-contacting sensor technologies, electrical machine design, insulation design for electrical machines, control systems for turbine and generators, cable design, and power electronics.
+ Implement formal mentoring and training programs for personnel towards improving their skills, performance and technical knowledge.
+ Develop and maintain up-to-date knowledge transfer plans, succession plans and employee career-path plans.
**Basic Qualifications:**
+ Bachelor's Degree in a STEM (Science, Technology, Engineering or Math) discipline with 9 years of relevant engineering experience; OR a Master's Degree in a STEM discipline with 7 years of relevant engineering experience.
+ US Citizenship with the ability to obtain a DoD Secret clearance.
+ Proven ability to lead cross functional teams.
+ Proven ability to perform problem analysis and resolution including Root Cause Investigations using lean and continuous improvement tools.
+ Experience with data acquisition including designing, maintaining, and updating.
+ Ability to organize and manage multiple products/programs with the focus on delivering within cost and on schedule.
**Preferred Qualifications:**
+ Master's Degree in a STEM (Science, Technology, Engineering or Math) discipline (preferred Electrical Engineering or Controls).
+ Experience as a Technical Lead, Project Manager, or other demonstrated leadership role related to program execution.
+ Experience with electric motor and generator design.
+ Experience with design and integration of complex electro-mechanical systems.
+ Experience with large scale rotating machinery, especially in naval applications.
+ Experience with the measurement of sound and vibration data.
+ Understanding and knowledge of Agile (SCRUM) techniques and activities.
+ Experience with proposals development and Earned Value Management Systems.
+ Knowledge of Systems Engineering processes, procedures and work instructions required to support both management and engineering tasks.
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $151,900 - $227,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Apopka) Calibration Technician 22024-03-18T06:15:19-04:00https://northropgrumman.jobs/001E4FBD081240989E71F4F86C7321E026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today. Northrop Grumman Mission Systems is seeking a talented **Calibration Technician 2** to join our team in **Apopka, FL** . Apopka, FL is located near the Orlando, FL. surrounding area.
**Roles and Responsibilities:**
+ Calibrates electronic test measuring equipment and signal generating equipment to conform to set standards.
+ Tests, calibrates, adjusts, and maintains electromechanical, mechanical, optical, pneumatic, hydro mechanical, and pressure-type measuring and indicating instruments.
+ Sets up calibration sequences, methods and procedures according to detailed specifications, blueprints, drawings, and requirements.
+ Disassembles, cleans, repairs, and replaces defective parts of test equipment such as pressure gauges and tension meters.
+ May monitor and verify quality in accordance with statistical process or other control procedures.
+ Understands ESD (Electronic Static Discharge), can support ESD equipment calibration and ESD safe work benches.
+ Performs other duties and assigned tasks as required.
**Basic Qualifications:**
+ High School Diploma/GED with 2 years of education and/or related experience.
+ Knowledgeable of soldering.
+ Knowledgeable of calibrating electronic and/or mechanical equipment.
+ Must be able to read and understand blueprints and diagrams.
+ US Citizenship with the ability to obtain and maintain a Secret Clearance.
**Preferred Qualifications:**
+ Experience calibrating electronic and mechanical equipment.
+ Experience reading blueprint and diagrams.
+ J-STD-001 certification
+ Active Secret Clearance.
**Salary Range:** $45,800 - $76,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-SC-Beaufort) CLS - F-35 Powerline Aircraft Mechanic 3/4 (MCAS Beaufort - SC)2024-03-18T06:15:15-04:00https://northropgrumman.jobs/DB2B5FF2D2BC42F79D2FC346897E00DC26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Are you ready to put your experience to work at Northrop Grumman? If so, our Defense Systems F-35 Sustainment HPSI Operating Unit, wants YOU to join our Team as an **Aircraft Mechanic 3** "or" **4** and join our team of qualified, diverse individuals. You will be assigned as an **Aircraft Mechanic** **3 or 4** **- Powerline** supporting F-35 organizational maintenance at **MCAS Beaufort, SC** . Your Mission will be to support O-Level maintenance on F-35.
****** Position to be staffed as an Aircraft Mechanic 3 or 4, specializing in Powerline maintenance.**
**Your duties and responsibilities include (but are not limited to)** :
+ You will responsibly lead teams of maintainers during maintenance evolutions while maintaining current documentation and adherence to proper technical publications and procedures.
+ You will provide detailed updates to management personnel regarding the progress of individual days maintenance.
+ You will perform Maintenance, Repair, Launch, and Recovery activities on F-35 aircraft. Ensures aircraft are in exceptional mechanical condition and safe for flight by performing maintenance, inspections, and servicing requirements on all aircraft systems in accordance with JTD and all applicable program and service guidance.
+ You will perform and/or assists with Field-Level Modifications and TCTD maintenance actions.
+ You will assist with OJT training for team members and partner aircraft maintenance personnel.
+ You will maintain and update aircraft records and status' within the Computerized Maintenance Management System (CMMS) of ALIS and keep the production staff updated on aircraft status.
+ You will perform other duties as assigned by the Aircraft Maintenance Manager.
+ You will perform required Airframe and Powerplant General (APG) tasks as part of F-35 Contractor Logistics Support (CLS) performing heavy/depot level modifications.
+ Your maintenance tasks include configuring aircraft for modifications, removal, and installation of attaching hardware, component removal and installations, assistance with software uploads, various system operational checkouts, and egress system maintenance.
+ Your technical requirements also include fault isolation, troubleshooting, and repair of system failures detected during operational checks associated with modifications and restoration of aircraft to flying condition after accomplishment of modifications and maintenance.
+ You will interpret and use various test equipment and work from wiring diagrams, engineering drawings, job guides, and maintenance manuals.
+ You will be required to obtain special certifications (example: confined space, borescope, etc.) as locally required.
+ You must be able to obtain and keep a flightline driver's competency card. The individual **must be able to work first or second shift** **,** as directed by the Aircraft Maintenance Manager. Individual must be able to depart on temporary duty assignments- on short notice. You will practice good housekeeping and follow safety procedures and ensure both programs are enforced.
+ **You must be willing to provide temporary support to our other CLS locations and/or emerging deployments.**
+ **You must be willing to work a flexible work schedule that may include extended shifts, holidays, and weekends and support on call status for 24 hours-a-day, 7 days-a-week, 365 days a year.**
+ **You must be able to meet the physical demands of this position, including regularly required to lift up to 50 pounds and perform repetitive movements, work overhead, use hands to grasp, handle, and/or feel, and reach. The employee is frequently required to stand, walk, stoop, kneel, squat, crawl, and twist** .
**Basic Qualifications:**
Level 3 : High School Diploma or GED and a minimum of 4 Years F-35 mechanical/powerplants/turboprop/or crew chief experience.
+ **Must have an Active Secret Clearance or higher.**
+ **US Citizenship required.**
+ **Must be able to obtain Special Access Program clearance, as required .**
+ Ability to read and interpret technical data and blueprint drawings.
+ Must be able to obtain Ground Support Equipment Qualifications in support of F-35 Maintenance and Flight Operations.
+ Must be able to obtain Collateral Duty Quality Assurance Inspector Qualification within 18 months of position start date.
Level 4 : High School Diploma or GED and a minimum of 6 Years F-35 mechanical/powerplants/turboprop/or crew chief experience.
+ **Must have hands-on F-35 specific maintenance experience** to include working experience and knowledge of Autonomic Logistics Information System ( **ALIS** ) including Anomaly Fault Resolution System, Customer Relationship Management, Joint Technical Data Navigation, Portable Maintenance Aid ( **PMA** )/Maintenance Vehicle Interface ( **MVI** ) activities, Configuration Management and forms documentation and supply chain management activities.
+ **Must have an Active Secret Clearance or higher.**
+ **US Citizenship required.**
+ **Must be able to obtain Special Access Program clearance, as required .**
+ Ability to read and interpret technical data and blueprint drawings.
+ Must be able to obtain Ground Support Equipment Qualifications in support of F-35 Maintenance and Flight Operations.
+ Must be able to obtain Collateral Duty Quality Assurance Inspector Qualification within 18 months of position start date.
**Preferred Qualifications:**
+ U. S. Navy, or U. S. Marine Corps experience with thorough understanding of F-35 Fighter Aircraft Systems and operations under COMNAVAIRFORINST 4790.2 and 4790.35
+ Experience in 5 or 7-skill level USAF AFSC, CDI/CDQAR Navy NEC, or CDI/CDQAR United States Marine Corps, or equivalent on F-22 or F-35 Fighter Attack Aircraft.
+ F-135 Powerplant engine run certification, F-35 avionics systems, fighter aircraft crew escape systems (i.e., canopy and ejection seat), experience in structural repairs and low observable system maintenance are highly desirable.
+ Ejection seat tear-down/build up experience.
+ Fuel systems experience.
+ Low Observable (LO) repair and maintenance experience.
+ Weapons loading experience.
+ Fiber Optic repair and maintenance experience.
+ Avionics troubleshooting and repair experience.
+ Experience performing in a role of task trainer.
+ Valid US Passport.
+ Experience with launch and recovery, standard component removal and replacement, understanding of propulsion systems, understanding of structure maintenance to include knowledge of low observable maintenance processes and procedures.
+ Excellent communication skills and experience in a Military-focused Customer Service-Related Industry.
+ Experience as an On-The-Job trainer.
+ An FAA aircraft and Power Plant Mechanic license is desirable.
**Salary Range:** $54,400 - $107,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Melbourne) Virtualization Systems Administrator 3/4 - Top Secret2024-03-17T06:15:46-04:00https://northropgrumman.jobs/2366736B5F754F5CABBD0E0A14EBC94D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Chief Information Office has an opening for a well-qualified Virtualization Systems Administrator to join our qualified, diverse and dynamic team of technical professionals. This position is located in the Melbourne, FL area.
The selected candidate will be required to work on-site, full-time at our Melbourne, FL campus. The individual will perform the following duties on a day-to-day basis in support of the program:
+ Perform duties as a Systems Administrator for a classified government contract
+ Develops computer systems specifications that address business requirements and that fit with the company's system architecture standards
+ Supporting multi-platform devices to include NetApp, VNX and VSAN
+ Installing, hardening and patching of devices and associated operating systems
+ Developing and documenting technical procedures
+ Able to partition disks, create volumes, and mount file systems to physical and virtual environments
+ Define and create appropriate monitoring templates and configurations and administer capacity management tools
+ Understand basic LDAP and DNS concepts and be able to query these services for information and troubleshoot issues in Linux and Windows domain based environments
+ Learn new technologies on own and work effectively independently, while still coordinating actions as required
+ Install and configure COTS software, and perform configuration and maintenance
+ Interact with and successfully utilize boards-of-approval to maintain required security controls and configuration management
+ Perform technical research on Information Technology topics, as requested, and produce presentations and recommendations
+ Coordinating actions with peer system administrators, network administrators, security teams, software teams and hardware
+ Lift equipment weighing up to 40 pounds
+ Work after hours and weekends as needed
Note: Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
This position may filled at a higher level based on the qualifications listed below.
**Basic Qualifications for Level 3:**
+ Associate's degree and 7 years of experience OR Bachelor's degree and 5 years of experience OR Master's degree and 3 years of experience; 9 years of experience may be considered in lieu of degree
+ Active DoD Top Secret Clearance
+ Ability to be cleared to special access programs as a condition of employment
+ IAT Level II certification (ex: Security+ CE) or greater
+ Experience maintaining a large air gapped VMWare/Windows/Linux WAN
+ Experience in VMWare suite of products
**Basic Qualifications for Level 4:**
+ Associate's degree and 11 years of experience OR Bachelor's degree and 9 years of experience OR Master's degree and 7 years of experience; 13 years of experience may be considered in lieu of degree
+ Active DoD Top Secret Clearance
+ Ability to be cleared to special access programs as a condition of employment
+ IAT Level II certification (ex: Security+ CE) or greater
+ Experience maintaining a large air gapped VMWare/Windows/Linux WAN
+ Experience in VMWare suite of products
**Preferred Qualifications:**
+ Preferred candidate will have VMware Certified Professional in either Data center virtualization (VCP-DCV) or End-User Computing (VCP-DTM)
+ Active DoD Top Secret/SCI Clearance
+ Experience supporting air gapped environments.
+ Strong understanding support wide range of VMware products in air gapped environments.
+ Experience deploying and managing VMware vSphere, vSAN, NSX-T, and vRealize environments. Candidate must have strong understanding of virtualized compute, GPU, storage, and networking.
+ Experience deploying and managing VMware Horizon, NVIDIA Enterprise GPU Solutions, VMware Dynamic Environment Manager (DEM) and VMware App Volumes. Candidate must have strong understanding of the Cloud Pod Architecture.
+ Experience deploying and managing VMware Site Recovery Manager (SRM). Candidate must have demonstrated knowledge of disaster recovery process/procedures in a large enterprise environment.
+ Experience deploying and managing VMware Cloud Foundation (VCF) on VxRail solutions. Candidate must have strong understanding of deployment, troubleshooting, and upgrading experience with VMware Cloud Foundation , VxRail, and vRealize suite.
+ Experience deploying and managing Active Directory Federation Services (ADFS).
+ Experience with deploying and managing System Center Configuration Manager (SCCM)/ Microsoft Endpoint Configuration Manager (MECM).
+ Experience administrating and understanding of Dell/EMC storage solutions. (Unity, XtremIO, RPA, etc).
+ Preferred candidate will be able to train and mentor junior staff.
ESCSO
ESWindowsAdmin
**Salary Range:** $84,200 - $126,400
**Salary Range 2:** $104,400 - $156,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Elkton) Principal Contract Administrator/Sr. Principal Contract Administrator2024-03-17T06:15:46-04:00https://northropgrumman.jobs/642CFD094BBA4305A944590237DC80D326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Northrop Grumman Systems Corporation, Defense Systems Sector is seeking a **Principal Contract Administrator (Level 3)** **/Sr. Principal Contract Administrator (Level 4)** to join its team of qualified, diverse individuals, supporting its Weapon Systems (WS). This location will be based out of **Elkton, MD. May consider hybrid teleworking** .
The successful applicant will become part of Northrop Grumman's WS contracts organization and will support various Government programs, capture and proposal activities as well as provide contract operations support. The successful candidate will be a direct report to Contracts Leadership and will work closely on a day-to-day basis with the business managers, operations managers, capture managers and other functional teams throughout the department. The successful candidate will be responsible for all aspects of contracts from the business development phase through contract closeout, delivering strong customer satisfaction, successful financial performance, and risk mitigation. Candidate's ability to build strong customer intimacy and employee engagement is key. Candidate must be a self-starter and able to work collaboratively with team members, including in an advisory role to program management, site management and the customers. Candidate will have knowledge of Federal Acquisition Regulations (FAR) and Defense Federal Acquisition Regulations Supplement (DFARS).
**In this role, the selected candidate will:**
+ Work complex assignments independently and support Finance, Program Management, and various levels of Management
+ Lead proposal preparation, contract negotiation and contract administration activities from proposal through closeout
+ Administer daily contract activities for a variety of product lines and contract types, including cost reimbursable and firm fixed price; such activities will include establishing payment terms, initiating, and monitoring invoicing, collections, and funding to support financial objectives
+ Enter and manage data in the Contract Management System, financial and delivery systems
+ Participate in customer meetings, performing and monitoring compliance to contract requirements and leading change management process
+ Ensure non-disclosure agreements and contract terms and conditions are negotiated within company guidelines
**This requisition may be filled at a higher grade based on qualifications listed below.**
**Basic Qualifications Contract Administrator (Level 3):**
+ This position emphasizes skills and experience. High School Diploma or equivalent (GED) and at least 10 years of applied experience. Will consider at least 6 years of applied experience with Bachelor's Degree; or at least 4 Year with Masters in a related field
+ Proficiency with Microsoft Office suite, especially Excel and PowerPoint
+ Must be able to obtain and maintain DoD (Department of Defense) Secret clearance
+ Must be able to work on site in Elkton, MD
**Basic Qualifications Principal Contract Administrator (Level 4):**
+ This position emphasizes skills and experience. High School Diploma or equivalent (GED) and at least 14 years of applied experience. Will consider at least 10 years of applied experience with Bachelor's Degree; or at least 8 Year with Masters in a related field
+ Proficiency with Microsoft Office suite, especially Excel and PowerPoint
+ Must be able to obtain and maintain DoD (Department of Defense) Secret clearance
+ Must be able to work on site in Elkton, MD
**Preferred Qualifications** :
+ Proficiency with Microsoft Office suite, especially Word, Excel and PowerPoint
+ Working knowledge of FAR/DFARS clauses
+ Experience in the Defense Industry
+ Experience working independently on routine to moderate contract management responsibilities including contract and regulatory compliance and negotiations (price, terms and conditions and technical requirements)
+ Ability to manage multiple contracts at the same time of moderate value and risk level, including various contract types such as cost reimbursable and fixed price
+ Basic understanding of Government contracting requirements
+ Excellent communication skills both verbal and written with both internal and external customers
**Salary Range:** $84,600 - $127,000
**Salary Range 2:** $104,900 - $157,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(ITA-Sigonella) Sr. Principal Mission Planner (Italy)2024-03-17T06:15:45-04:00https://northropgrumman.jobs/62B8F611EEFB4066A06A86BC1BA4A6E026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Services is seeking a Mission Planner for the RQ-4 NATO AGS Global Hawk program at **NAS Sigonella, Sicily** . Conduct highly responsive remotely piloted aircraft mission planning support services as a part of a dedicated operational support team that enables the execution of dynamic intelligence, surveillance, and reconnaissance (ISR) mission requirements across all theaters of operations. Develop, coordinate, and maintain tailored mission planning packages. Develop and maintain a collaborative relationship with the pilots and sensor operators and the NAGSF Branch (Current Operations) to ensure that continuous support is provided through the route development and mission planning phases of each mission. Using the Joint Mission Planning System (JMPS) develop all training, exercise support and contingency operations flown by NATO AGS aircraft in accordance with NATO, ICAO, EUROCONTROL, FAA standards and procedures from the Main Operating Base (MOB) at NAS Sigonella, Sicily. This position operates in a highly dynamic 24/7 mission environment, so anticipate rapidly changing work schedules and mission priorities. Maintain flexible work hours to accommodate all mission scenarios, short-notice changes and tasking's, and to provide uninterrupted operational support.
**This position will be a long-term expatriate opportunity. This position will include HOLA, COLA and other incentives for working this assignment overseas** .
**Basic Qualifications:**
- Must have a minimum of 13 years of aviation experience. Will consider qualified applicant with a Bachelor of Science/Arts with 10 years' experience in military aviation operations.
- Graduate of a flight training program (previous military flight training preferred) and a former USAF/USN/USA rated aviator.
- 10 years of military aviation experience as a rated pilot, navigator, or weapons systems operator.
- 6 years of experience with mission planning, weather, aircraft operations, aircraft performance, airfield suitability, and Notice to Airmen (NOTAM) analysis.
-Experience in leading teams.
-Able to obtain a NATO Secret clearance.
**Preferred Qualifications:**
-Bachelors of Science in Aerospace or Aeronautical Engineering
-Previous experience as a High Altitude Long Endurance mission planner
-Previous experience as a UAV/RPA mission planner with MQ-1, MQ-9, RQ-7, Triton, RQ-4
-Previous experience flying or planning missions for ISR aircraft; U-2, RC-135S/U/V/W, P/EP-3, MC-12W, RC-12, JSTARS
-Familiar and/or proficient with Portable Flight Planning Software (PFPS), to include Combat Flight Planning Software (CFPS) and FalconView
-Proficient in Air Force Mission Support System (AFMSS) mission planning software
-Proficient in Joint Mission Planning System (JMPS) mission planning software
-Military Rated Aviator
-Proficient with Windows environments and the following software:
-Microsoft Office Suite: Word, Excel, PowerPoint
-Microsoft SharePoint
-Microsoft Outlook
**Salary Range:** $97,300 - $145,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) Principal Engineer Manufacturing Level 32024-03-17T06:15:44-04:00https://northropgrumman.jobs/132C8B540EF344D49E62380DA8FF92B026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
**Northrop Grumman Aeronautics Systems** has openings for **Principal** **Engineer Manufacturing** **Level 3** to join our team of qualified, diverse individuals. The selected candidates will support process design and respond to production issues related to aircraft manufacturing (composite layup, cure, machining, assembly, metallic assembly, and subsystems). **This position is located at our Clearfield, Utah facility.**
Many individuals have a desire to work on secured military programs, but they lack the required DoD clearance. This posting employs the Northrop Grumman Talent Reserve process which enables qualified applicants to obtain a DoD clearance, thus enabling them to work on secure programs.
Want to work on the cutting edge of aerospace? Come join us!
**Responsibilities** :
+ Develops manufacturing process structure - instructions, materials, tooling, equipment, and software required to fabricate the product.
+ Identifies process improvements, captures feedback from Operations, and incorporates into the manufacturing process.
+ Creates and maintains the planning work instructions.
+ Reviews design changes and specification changes and ensures they are captured in the manufacturing process.
+ Promptly responds to technical issues that arise on the production floor utilizing work instructions, design models, and specifications.
+ Clarifies work instructions to Operations and crafts modifications as needed.
+ Leads multifunctional teams to solve production issues.
+ Documents issues and disseminates information to core manufacturing engineering for incorporation into future releases.
+ Resolves issues by collaborating with other resource groups as needed.
+ Gets involved in MRB repairs and special processes to assist Operations as needed.
+ Performs PFMEA and RCCA investigations.
+ Creates and maintains MBOMs.
The ideal candidate thrives in a fast-paced work environment with diverse assignments. Our team is highly collaborative, working in team settings across all levels and subject areas including operations, design engineering, supply chain, and mission assurance. We enjoy working in a production environment and being hands on to solve problems that influence company performance.
Positions are available on day, night, and weekend shifts. Night and weekend shifts include a pay differential.
**Basic Qualifications:**
**Principal Engineer Manufacturing (Level 3)**
+ Bachelor's Degree in STEM Field (Science, Technology, Engineering or Mathematics) and 5 years of work experience OR Master's Degree in STEM discipline and 3 years of work experience in any of the following Engineering fields; Manufacturing, Productions, Aerospace, Aeronautics, Systems, Process or Industrial OR PhD in STEM discipline with 0 years of experience.
+ Experience in a manufacturing industry
+ Ability to obtain and maintain a DoD Secret Security Clearance prior to start.
+ Ability to obtain and maintain Special Program Access Clearance prior to start.
+ Experience in manufacturing engineering or design engineering
**Preferred Qualifications:**
+ Active DoD Secret Security Clearance
+ Special Program Access
+ GD&T experience in aircraft manufacturing
+ Proficiency with NX11
+ Experience with aircraft composites layup, material curing, and trim processes
+ Experience with aircraft assembly of composite, aluminum, and titanium components
+ Experience with wiring and hydraulic installation
+ Liaison / Floor production engineering experience
+ Work instruction planning experience.
+ Experience with formal root cause and corrective action processes (PFMEA, Fault Tree, etc.)
+ Project leadership experience
+ Fluent with PDM, MRP, and EWI business systems
**What We Offer:**
At Northrop Grumman, we are on the cutting edge of innovation -- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer exceptional benefits/healthcare, a great 401K matching program, and 14 Employee Resource Groups inclusive of all employees!
**Salary Range:** $84,200 - $126,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Redondo Beach) Deputy SEIT IPT Lead2024-03-17T06:15:44-04:00https://northropgrumman.jobs/6CE5A54E5EC64EEBA80173682525976C26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
We are seeking a Deputy SEIT IPT lead that will utilize Model-Based Systems Engineering (MBSE) practices to lead and support a Systems Engineering Integration (SEI) Integrated Product Team (IPT), for cross-IPT collaboration within a new development organization with RAD and capture activity. The Deputy IPT lead will help the IPT Lead provide cross IPT support across the entire organization.
The deputy lead will act as a cross-IPT technical integrator working closely with peers and managers of other engineering disciplines, program managers, and IPT leads, with essential functions including:
+ Model Based System Architecture development, Supplier model integration, and internal system interface control
+ Capture analytical tool and workflows (analytical architectures)
+ Provide requirements allocation and interface definition support to translate customer requirements into hardware and software specifications.
+ Provide cross-IPT support for Capture efforts, contract documents (e.g. SSOW/SDRL/CDRL), and trade studies as required Participate in Technical Review Boards (TRBs), and other program reviews and boards, as required
+ Communicate effectively and clearly present technical approaches and findings at technical and program reviews and boards.
+ Apply extensive technical expertise in the development of technical solutions to complex problems which require the regular use of ingenuity and creativity.
**BENEFITS STATEMENT**
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees!
**LEADERSHIP STATEMENT**
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement and respond vigorously to change.
**BASIC QUALIFICATIONS:**
+ Must have a Bachelor's Degree in a STEM (Science, Technology, Engineering or Mathematics) field from an accredited university, with 9 years of engineering experience or 7 years with a Master's Degree.
+ Experience leading a team of architects to deliver MBSE products and complete System Engineering aspects of program milestones such as SRR, SFR, PDR etc.
+ Proven team leadership experience in program integration, operations, program execution, proposals, & capture efforts to meet quality, performance, cost, and schedule requirements
+ The ability to effectively communicate with engineers and management from multiple disciplines
+ An active DoD Top Secret clearance
+ The ability to obtain program access (PAR)
**PREFERRED QUALIFICATIONS:**
+ Ability to guide the development of Model Based System Engineering approaches to capturing specialty engineering data in a Cameo model such as hazard tracking, cybersecurity controls, criticality tagging, IFC, FMECA, etc
+ Working knowledge of MBSE tools such as Cameo, Magic Draw, Rhapsody, Sparx EA, and/or Enterprise Architect
+ Experience guiding the development of metrics in a model based tool to show SOW compliance
+ Ability to show TPM management in a Cameo Tool
+ Experience setting up a MBSE Project Infrastructure across suppliers and classification environments
+ Experience in developing, managing, and decomposing requirements
+ Experience in system architecture, CONOPs, and model-based system engineering
+ Demonstrated communication and technical writing skills.
+ Proven ability to brief technical presentations to stakeholders
+ Experience with flight test operations
+ Experience with Lab Integration
+ Current AP-750 access, OR access, or GR access
**Salary Range:** $176,100 - $264,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Engineer Supplier Quality/Principal Engineer Supplier Quality2024-03-17T06:15:44-04:00https://northropgrumman.jobs/EF415B11513645E48BA8A0F0BC29AEB626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a dedicated Supplier Quality Engineer to join our team in **Baltimore, MD** . This position may be worked in a hybrid fashion (on-site 3-4 days a week).
This Supplier Quality Engineer will be responsible for the following activities:
+ Responsible for Supplier Quality risk management.
+ Develops and implements supplier quality evaluation and control systems.
+ Assures supplier process and product quality, including contractual, regulatory, and technical compliance.
+ Interfaces directly with supplier personnel and must be knowledgeable in and capable of assessing both supplier quality and manufacturing processes and procedures.
+ Conducts product and process verification activities, monitors supplier quality performance, and drives root cause corrective action analysis for supplier quality issues.
+ Supports the maintenance of the approved supplier list and periodically reviews supplier performance to determine whether suppliers should remain on the approved list.
+ Manages supplier requests for waivers, deviations and discrepancy reporting process.
+ Keeps management apprised of supplier performance, quality status, and risks. Coordinates and oversees Supplier Quality plan implementation through all program phases.
+ Actively engages in supplier evaluation, qualification and selection as part of the Business Acquisition Process (BAP) and as new procurements are required on existing programs.
+ Manages implementation of Supplier Quality processes, including supplier approval activities, source selection evaluations, supplier classification designation (Critical, Key and Standard), development and execution of risk assessments and mitigation plans, and supplier quality requirements development and flow-downs.
This position may be filled at the Supplier Quality Engineer (Band 2) or Principal Supplier Quality Engineer (Band 3) level, depending on experience.
**Basic Qualifications for a Band 2:**
+ Bachelor's Degree and 2+ years of relevant experience (an additional 4+ years of relevant experience may be considered in lieu of a bachelor's degree).
+ Must be able to travel up to 25% of the time.
+ Must be able to obtain a DOD Secret Clearance. US Citizenship required
+ Experience in root cause analysis and corrective action
**Basic Qualifications for a Band 3:**
+ Bachelor's Degree and 5+ years of relevant experience (or a Master's Degree with 3+ year(s) (an additional 4+ years of relevant experience may be considered in lieu of a bachelor's degree)
+ Must be able to travel up to 25% of the time.
+ Must be able to obtain a DOD Secret Clearance. US Citizenship required
+ Experience in root cause analysis and corrective action
**Preferred Qualifications for Both Levels:**
+ Current active security clearance
+ Six Sigma Green Belt or Black Belt Certification
+ Supplier quality experience
+ Demonstrated problem solving ability
+ Knowledge of ISO 9001 or AS9100 requirements
**Salary Range:** $75,700 - $113,500
**Salary Range 2:** $93,000 - $139,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Cape Canaveral) Project Management/Principal Project Management2024-03-17T06:15:43-04:00https://northropgrumman.jobs/64EF1BF18AAA4EC7ABE5F29E1BD42B6C26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Mission Systems (NGMS)** is looking for a **Logistics** **Project Manager 2/3** to join our team in **Cape Canaveral, FL** working with the U.S. Navy. This role will be supporting our Launcher Systems Logistics operations for U.S. Navy OHIO and COLUMBIA class ballistic missile submarine programs.
What You'll Get to Do:
• Develop mechanisms for monitoring project progress and for intervention and problem solving with project managers, field engineers, the Strategic Systems Program office, and prime contractor.
• Serve as logistics liaison between the field engineers, the Strategic Systems Program, and prime contractors at build yards, shipyards, and test facilities.
• Assesses project issues and develop resolutions to meet productivity, quality, and client-satisfaction goals and objectives.
• Coordinate tactical hardware and support equipment deliveries to the active Navy and shipyards.
• Plan and coordinate with shipyards for the receipt, inspection, and storage of launcher hardware, support equipment, and I&C Spares.
• Manage provisioning conferences and formal provisioning.
• Prepare and submit data items in accordance with DoD requirements and contracts.
• Compile data from a variety of sources to develop material requirements and spares quantities.
• Support Launcher Equipment Requirements Chart (LERC) changes and attend meetings. Complete actions items from the LERC as required.
• Support cross decking plan to include the movement, stowage, and re-issue of designated components from the legacy submarines to new construction submarines.
• Monitor supply support effectiveness through document reviews, identify issues and provide recommended solutions.
• Prepare significant data analysis in accordance with DoD requirements and contracts.
This position can be filled at a **Project Manager 2** level or a **Principal Project Manager 3** level.
MANUMS
\#NGFeaturedJobs
**Basic Qualifications for Project Manager:**
• Bachelors Degree with 3+ years of logistics experience or a Masters Degree with 1+ year of logistics experience (Note: in lieu of a Bachelors Degree, we are considering an Associates Degree with 5+ years of experience or a High School Diploma and 7+ years of experience total).
• Prior experience working with cross-functional organizations (Program Office, Engineering, Manufacturing, Purchasing, Business Management, Field Operations, etc.)
• Proficiency with Microsoft Office suite and SAP MRP (or similar) system.
• Must be a US Citizenship with the ability to obtain an interim secret clearance .
**Basic Qualifications for Principal Project Manager:**
• Bachelors Degree with 6+ years of logistics experience or a Masters Degree with 4+ years of logistics experience (Note: in lieu of a Bachelors Degree, we are considering an Associates Degree with 8+ years of logistics experience or a High School Diploma and 10+ years of logistics experience total).
• Prior experience working with cross-functional organizations (Program Office, Engineering, Manufacturing, Purchasing, Business Management, Field Operations, etc.)
• Proficiency with Microsoft Office suite and SAP MRP (or similar) system.
• Must be a US Citizenship with the ability to obtain an interim secret clearance .
**Preferred Qualifications:**
• Experience with Navy Supply system with expertise in a variety of Logistics support functions, including inventory management and provisioning.
• Experience working with the Department of Defense Logistics system.
• Exposure to a variety of Logistics support functions, including inventory management and provisioning.
• Working knowledge of customer compliance documents, government instructions, and government standards.
• Knowledge of government contracts and related documents.
• Experience with MS Project and MS Visio.
• Active Secret Clearance.
**Salary Range:** $62,200 - $93,400
**Salary Range 2:** $76,600 - $115,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Sr Principal Quality Analyst - 120362024-03-17T06:15:43-04:00https://northropgrumman.jobs/8B613F035C304FDAA99D934F52EAAF9C26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **Sr. Principal Quality Analyst** . This position will be located in **Roy, UT** and will support the **Sentinel** program.
**This role may offer a competitive relocation assistance package** **.**
**What You'll Get** **To** **Do:**
+ Northrop Grumman is currently seeking a Program Quality Analyst to provide support to our Roy, Utah Sentinel Team.
+ Selected candidate will support MA functions to ensure mission success.
+ Technical reviews of all Sentinel drawings, SOW's, Requirements documents as required.
+ Performs or assures quality, risk management, safety, reliability, and maintainability of Sentinel achievements.
+ Assesses GBSD performance and risks and determines resources to ensure mission assurance.
+ Assist the Program Managers (PM) with all GBSD Mission Assurance /Program Management requirements.
+ Develop, implement, and maintain the Sentinel Program Quality Plans.
+ Support Sentinel program FRBs ensuring identification of root cause and proper implementation of corrective actions and reach back and reach across.
+ Assist PQM in preparation and execution on of Program Preventive and Corrective Action Board (PCAB).
+ Develop and maintain Sentinel Program Metrics.
+ Support PQM with Sentinel Customer Corrective Action Requests.
+ Support IPT MA & QE in Conditional Acceptance requirements and flow down.
+ Monitor timely closure of Conditionally Accepted hardware and work corrective actions with IPT MA & QEs.
+ Support operational activities with product inspection plans and acceptance.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
• Medical, Dental & Vision coverage
• 401k
• Educational Assistance
• Life Insurance
• Employee Assistance Programs & Work/Life Solutions
• Paid Time Off
• Health & Wellness Resources
• Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**You'll Bring These Qualifications:**
+ Bachelor's degree and 10 years of quality work experience; or Master's degree and 8 years of quality work experience. Note: an additional 4 years of relevant work experience may be considered in lieu of a degree.
+ Demonstrated understanding of the non-conformance and corrective action process
+ Ability to obtain and maintain a Secret clearance and Special Program Access (Be a US Citizen)
**These Qualifications Would be Nice to Have:**
+ Experience with composites or manufacturing environment
+ Working knowledge of AS9100/ISO9001 industry standards
+ 6+ years of audit experience
+ MRB experience
+ NDT or NDI experience
+ Configuration Management Experience
+ Active DoD Secret Clearance
**Salary Range:** $95,000 - $142,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Schriever AFB) Principal Engineer Systems Test - Test Analyst (24-011)2024-03-17T06:15:43-04:00https://northropgrumman.jobs/DF8840A010D549BD9D78AEF3869DFF6126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems - Launch and Missile Defense Systems has an exciting career opportunity for a Principal Engineer Systems Test - Test Analyst (24-011) to join our team of qualified, diverse individuals. This position will be located on-site at Schriever Space Force Base, Colorado Springs, CO.
**Position Overview:**
The Command and Control, Battle Management, and Communications-Global (C2BMC-G) Task Order is fast-paced and dynamic. C2BMC-G is responsible for developing and integrating Space Domain capabilities into the C2BMC framework for the Space Domain Community.
The Systems Engineer's primary responsibility is to work as a member of the C2BMC-G test team, testing the C2BMC-G product and its interactions with other external entities. This position will focus on verifying and validating the C2BMC-G Product. The primary work location is the Missile Defense Integration and Operations Center (MDIOC) on Schriever AFB, CO.
**Essential Functions:**
+ Generate detailed and repeatable tests.
+ Must understand system development processes and principles and communicate the desired capabilities to various developers, engineers, subject matter experts, and system users.
+ Should be comfortable with rapidly changing requirements, design challenges that require novel solutions, fast-paced activities, and tight deadlines.
+ Coordination with external partners for completing system-to-system tests, Coordinating with Development teams for background on system improvements, testing the C2BMC-G product through requirement verification, authoring and verifying bugs, and participating in system-of-system test events for the validation of the C2BMC Product as a whole.
+ Apply these results to identify and work to enhance C2BMC-G for future operations.
**Basic Qualifications:**
Please note your updated security clearance and IAT/relevant certifications on your resume if applicable.
+ An active Secret clearance is required to start with the ability to obtain TS/SCI clearance.
+ 5 years with a Bachelor's degree in a related field; 9 years experience in lieu of a degree.
+ Experience working in an integrated team environment with other systems, software, and specialty engineers to develop solutions with a background in all systems development life cycle aspects.
+ Should have demonstrated contributions in all elements of the System Engineer "V" focusing on testing a complex system against a specified set of requirements and warfighter needs.
+ Demonstrated ability to communicate effectively, clearly present technical approaches and findings, and have experience writing and implementing project test plans.
**Preferred Qualifications:**
+ Active TS/SCI clearance is highly desired.
+ Non-standard work hours are highly likely during event execution
+ Some travel may be required.
+ Possess a DoD 8570 certification at IAT Level II or higher (Security+, GSEC, SCNP, SSCP, CISSP, CISA, GSE, SCNA) is desired.
+ Test-driven development and continuous integration.
+ Software architecture design.
+ Service Oriented Architecture development principles.
+ Operating systems experience with Windows & Unix/Linux.
+ Conduct and participate in peer reviews.
+ Ability to extract data to support analysis.
+ Implementation of algorithms and Messaging interface development.
+ Theory and application of the BMDS.
+ Theory and application of command and control systems.
+ Theory and application of track correlation algorithms.
+ Familiarity with Link-16, including content, transmission rules, etc.
+ Experience with COTS and FOSS.
+ Using the Agile methodology for software development
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment that encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family. Your benefits will include the following:
+ Health Plan
+ Savings Plan
+ Paid Time Off
+ Education Assistance
+ Training and Development
+ Flexible Work Arrangements
https://benefits.northropgrumman.com/us/en2/BenefitsOverview/Pages/default.aspx
NGSpace
COSpace
NGFeaturedJobs
C2BMC
**Additional Northrop Grumman Information:**
**Salary Range:** $88,600 - $133,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Chantilly) Principal Data Center Engineer (Electronics Engineer) OR Senior Principal Data Center Engineer (Electronics Engineer)2024-03-17T06:15:42-04:00https://northropgrumman.jobs/72697F1433F5443FAB9AE8AC737CAD7126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautical Systems has an opening for a **Principal Data Center Engineer (Electronics Engineer) OR Senior Principal Data Center Engineer (Electronics Engineer)** to join our team of qualified, diverse individuals. This position will be located in Chantilly, VA
The selected candidate will be responsible for integrating and testing software/hardware systems in complex IT systems and will be working in a fast-paced, dynamic environment teaming closely with other engineers and technicians in a lab environment.
This position will require
**Essential Functions:**
+ Developing engineering documentation for system configuration
+ Executing engineering projects on schedule.
+ Collaborate with engineers to generate and redline documented procedures and troubleshoot.
+ Candidate should have good coordination, planning, and documentation skills.
+ Implement engineering changes to the system as required
The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, and collaborative/team settings across all levels.
**This position may be filled at either the Principal Data Center Engineer (Electronics Engineer) OR Senior Principal Data Center Engineer (Electronics Engineer) level, depending upon the qualification below.**
**Basic Qualifications for** **Principal Data Center Engineer (Electronics Engineer):**
+ Bachelor's Degree in a STEM (Science, Technology, Engineering or Mathematics) discipline from an accredited university with 5 years of related engineering experience; OR a Master's Degree in a STEM discipline with 3 years of related engineering experience; OR a PhD in a STEM discipline with 0 years of related engineering experience.
+ Active CompTIA Security+, CASP, or CISSP certification.
+ At least 5 years of demonstrated experience with modern VMware releases.
+ Excellent problem solving, analytic, and communication skills.
+ **An active in-scope DoD Top Secret Clearance**
+ Must have the ability to obtain Special Program Access (SAP). This SAP must be obtained prior to commencement of employment and within a reasonable amount of time as determined by the company to meet its business needs.
**Basic Qualifications for** **Senior** **Principal Data Center Engineer (Electronics Engineer):**
+ Bachelor's Degree in a STEM (Science, Technology, Engineering or Mathematics) discipline from an accredited university with 9 years of related engineering experience; OR a Master's Degree in a STEM discipline with 7 years of related engineering experience; OR a PhD in a STEM discipline with 4 years of related engineering experience.
+ Active CompTIA Security+, CASP, or CISSP certification.
+ At least 5 years of demonstrated experience with modern VMware releases.
+ Excellent problem solving, analytic, and communication skills.
+ **An active in-scope DoD Top Secret Clearance**
+ Must have the ability to obtain Special Program Access (SAP). This SAP must be obtained prior to commencement of employment and within a reasonable amount of time as determined by the company to meet its business needs.
**Preferred Qualifications for both levels:**
+ VMware Certified Professional (VCP) certification
+ 4+ years of hands-on VMware virtualization and/or cloud experience
+ Experience administrating of vSphere and vCF; experience with ESXi, vCenter, NSX and VDI
+ Experience trouble shooting of VMware products in a production environment
+ Experience administrating Container Technologies (e.g. Kubernetes, Docker) in an production environment
+ Operating System Certification (Linux+, VMware Certified, etc.)
+ Aria Automation (vRA) and Aria Operations (vRO) experience
+ Scripting experience with vRO (PowerShell, Python, JavaScript).
+ Experience administrating Red Hat Enterprise Linux 8 (or equivalent) or above.
+ Experience administrating of NetApp Storage system On Tap and Storage grid.
+ In-depth experience in Operations and Maintenance activities of IT infrastructure
+ Experience configuring/maintaining Networking Hardware (Switch, Router)
**Salary Range:** $107,300 - $160,900
**Salary Range 2:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Supply Chain Technical Coordinator 2 or 32024-03-17T06:15:42-04:00https://northropgrumman.jobs/8FB08A6F87204856A8A37ABE93FFCE1026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Supply Chain Technical Coordinator 2 or 3** to join our team of qualified, diverse individuals. This position is located in **Palmdale, CA.**
+ **Position is onsite, unable to accommodate a telecommute/hybrid schedule.**
**Essential Functions:**
+ Supports and assists activities within multiple disciplines across the Supply Chain process.
+ Provides technical, tactical and execution support in various aspects of the industry standard supply chain business process areas primarily Supply Chain Manufacturing and Supply Chain Logistics, as well as Supply Chain Business Operations.
+ These employees are primarily responsible for the manual movement, shipping and receiving of materials.
+ Loads, unloads, and conveys materials and parts to multiple work sites, and performs a combination of tasks under specific direction which may include shipping and/or receiving.
+ Opens containers using hand tools; counts and weighs materials and records information.
+ Loads and unloads materials onto or from pallets, trays, racks and machines by hand; loads or unloads materials into or from trucks by hand.
+ Conveys materials using hand truck, forklift, or other devices; stacks or assembles materials; aids by lifting heavy objects by hand.
+ Works closely with the Integration team and shop floor.
+ Delivers kits and chemicals and verifies quantities and part numbers.
+ Wraps and packs kits to send to on and offsite locations.
+ Operate company-owned vehicles to execute pick-up and delivery of parts between Northrop Grumman sites/buildings, and offsite locations at times. Must be able to drive in the dark.
**Basic Qualifications (Level 2):**
+ High School diploma or equivalent and 2 years additional education and/or related experience.
+ Ability to communicate effectively in a production atmosphere.
+ Ability to obtain/maintain certification in forklift, electrical pallet jack, and reach-lift.
+ Ability to lift up to 25 lbs. and walk or stand for extended periods of time.
+ Valid driver's license and ability to drive company-owned vehicles (potentially in the dark at times).
+ Ability to obtain/maintain DOD Secret Clearance and a Program Special Access within a reasonable period of time, as determined by the company to meet its business needs (prior to starting).
**Basic Qualifications (Level 3):**
+ High School diploma or equivalent and 4 years additional education and/or related experience.
+ Ability to communicate effectively in a production atmosphere.
+ Ability to obtain/maintain certification in forklift, electrical pallet jack, and reach-lift.
+ Ability to lift up to 25 lbs. and walk or stand for extended periods of time.
+ Valid driver's license and ability to drive company-owned vehicles (potentially in the dark at times).
+ Ability to obtain/maintain DOD Secret Clearance and a Program Special Access within a reasonable period of time, as determined by the company to meet its business needs (prior to starting).
**Preferred Qualifications:**
+ Active DOD Secret Clearance
+ Bachelor's degree from accredited institution.
+ Experience with ERP system, specifically SAP desired.
+ Experience in Aerospace Manufacturing.
+ MES Program Experience.
+ Experience working knowledge in production planning process.
+ Working knowledge with Total Quality Management and/or, Procurement, and/or Supplier Quality Assurance.
**Salary Range:** $44,600 - $74,400
**Salary Range 2:** $53,000 - $88,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-McClellan) Cable Design Engineer or Principal Cable Design Engineer (3D Cable Routing)2024-03-17T06:15:42-04:00https://northropgrumman.jobs/95D1E52242824C36B614B53B843E9BDD26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is a trusted provider of mission-enabling solutions for global security. Our Engineering and Sciences (E&S) organization pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
We are looking for you to join our team as a **Cable Design Engineer or Principal Cable Design Engineer (3D Cable Routing)** based out of **McClellan, CA.**
**What You'll get to Do:**
+ Apply electrical, electronic and mechanical principles to components and systems, including assembly, analysis, and documentation of results; construction of developmental assemblies, sub-assemblies and components; and quality testing.
+ Support 3D cable routing, Wiring Diagrams, and Interconnect Diagrams.
+ Support and participate in the design, test, modification, fabrication and assembly of prototype electromechanical systems.
+ Package CCAs and electronic modules for qualified use in demanding airborne environments
+ Identify and synthesize requirements using a system engineering approach to provide novel solutions
+ Perform structural and thermal analyses using hand calculations and FEA to validate designs
+ Incorporate design for manufacturing principles to drive down product costs and assembly time
+ Provide engineering oversight during prototype and production hardware assembly & test
+ Develop preliminary and critical design review content for peer and customer presentations
+ Create technical data packages including fabrication, source control, and assembly drawings
+ Employ strong interpersonal and communication skills while operating within an integrated product team
+ Promote knowledge transfer within the organization by sharing of lessons learned and best practices
+ Provide as needed engineering support to material review boards and failure review boards
+ Work with agility and minimal direction to complete program tasks on-time; failure to obtain results or erroneous decisions or recommendations would typically result in serious program delays and considerable expenditure of resources
This position may be filled as a Cable Design Engineer or Principal Cable Design Engineer.
**Basic Requirements for a Cable Design Engineer:**
+ BS in a STEM discipline (Science, Technology, Engineering or Math) with 2+ years of relevant work experience; or Masters in a STEM discipline with 0+ years of relevant work experience
+ 3D Cable Routing and Design experience with CAD Design packages, PTC Cabling, Solidworks Cable Routing, Siemens NX, Siemens Capital o r may consider similar packages
+ US Citizen with the ability to obtain and maintain Top Secret/SCI (access) security clearance
**Basic Requirements for a Principal Cable Engineer:**
+ BS in a STEM discipline (Science, Technology, Engineering or Math) with 5+ years of relevant work experience; or Masters in a STEM discipline with 3+ years of relevant work experience
+ 3D Cable Routing and Design experience with CAD Design packages, PTC Cabling, Solidworks Cable Routing, Siemens NX, Siemens Capital o r may consider similar packages
+ US Citizen with the ability to obtain and maintain Top Secret/SCI (access) security clearance
**Preferred Requirements:**
+ Active TS/SCI security clearance
+ Degree in Mechanical Engineering
+ Experience with electromechanical or electronics cable design for airborne/military applications (may consider candidates with similar industry experience)
+ 2000+ hours in CAD Design packages, PTC Cabling, Solidworks Cable Routing, and Siemens NX/Siemens Capital
+ Experience with structural and thermal analysis (NASTRAN/Patran and Flotherm)
+ Diverse product development experience in flight qualified electronics packaging design
+ Proficiency with PTC Windchill PLM
+ Experience with environmental test methods per MIL-STD-810
+ Familiarity with ASME Y14.5 Geometric Dimensioning and Tolerancing
+ Exceptional attention to detail, self-motivation, and self-regulation
**Salary Range:** $87,200 - $130,800
**Salary Range 2:** $107,300 - $160,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-WV-Rocket Center) Manager Test Engineering 22024-03-17T06:15:42-04:00https://northropgrumman.jobs/A0CBB0709F074EA2BB0487DEFBCDF41A26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Job Summary:**
Designs, develops, and implements testing methods and equipment. Plans and arranges the labor, schedules, and equipment required for testing and evaluating standard and special devices. Provides test area with parameters for sample testing and specifies tests to be performed. Compiles data and defines changes required in testing equipment, testing procedures, manufacturing processes, or new testing requirements. Responsible for testing all customer samples and for special tests that cannot be performed in the test area. Additionally, plans/coordinates equipment upgrades and expansions as required by technology advancement and capacity expansion.
The Manager Test Engineering 2 will lead a team of test engineers who support ABL programs with a range of testing services including physical testing of propellants & rubber, hydro proofing, and static testing of rocket motors.
**Specific responsibilities include:**
+ Managing Test Engineering team and support test area to ensure the Safety of all personnel, define test requirements (hardware, software, and facilities), generate test plans, assign resources, ensure work is scheduled and executed based on the competing priorities of concurrent programs, and troubleshoot and resolve faults/issues as required.
+ Manage Test Engineering support for environmental testing
+ Manage Test Engineering support for integration and/or testing of components, sub-systems and systems
+ Interface and coordinate with external customers as needed for testing support and witnessing
+ Interface and coordinate with internal and external support staff to ensure safety during test execution
+ Establish and track overhead budgets
+ Lead, develop, and implement system and site improvements
+ Monitor, mentor, and coach employees to ensure they are meeting expectations
+ Provide formal feedback and development opportunities to employees
**Basic Qualifications:**
+ Bachelor's degree and 10 years (or Bachelor of Science in STEM and 9 years), OR a Master's degree and 8 years (or Master of Science in STEM and 7 years) experience in test engineering.
+ Strong leadership, influence, and project management skills
+ Strong communication skills, both oral and written
+ Experience working with and managing production integration teams
+ Ability to establish schedules and work flows with the capability to quickly adapt to changing priorities
+ Working knowledge of Microsoft Office (Excel, Word, PowerPoint, and Project).
+ Strong mechanical skills and exposure to a manufacturing environment
+ Must have or be able to obtain a U.S. Department of Defense (DoD) Secret security clearance (US Citizenship as a pre-requisite)
**Preferred Qualifications:**
+ Prior experience in environmental testing
+ Prior experience managing technical professionals
+ 3-5 years of project, program, or people leadership experience
+ Working knowledge of test sensors (accelerometers, load cells, pressure transducers, LVDT, etc.)
+ Working knowledge of NG Hazardous Operations Standard (HOPS) and DoD 4145.26
**Salary Range:** $119,300 - $178,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Sunnyvale) Manufacturing Analyst / Principal Manufacturing Analyst2024-03-17T06:15:41-04:00https://northropgrumman.jobs/1DA5DAD3426C4E57B05A92B3052E04A226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems (NGMS) is looking for you to join our team as a Manufacturing Analyst/Principal Manufacturing Analyst located in Sunnyvale, CA.
**What you'll get to do:**
Supports production, business, and other processes in a team-based low volume high complexity mechanical manufacturing environment. Performs activities in a variety of cross-functional areas including: training, Material Management Accounting System audits, Environmental Health Safety and Fire Prevention self-inspection, and manufacturing audit/assessment support and special processes risk-based audits.
The incumbent will provide training in audits and assessment techniques and facilitate planning, coordination, investigation, and reporting on department assessment requirements. This would also include the management of closing findings and evaluating effectiveness of corrective actions. Collaborate with Quality Mission Excellence during internal and external customers' audits including Defense Contract Audit Agency (DCAA) and Defense Contract Management Agency (DCMA) with respect to ISO 9001 Standards, manufacturing process compliance to procedural requirements and contracts. Writes audit reports for all levels of management. Generate monthly, quarterly and yearly assessment reports. Measures audit performance versus historical trends to determine areas for improvement. Improve established audit and assessment processes.
Performs fairly complex tasks and participates in determining objectives of assignment. Plan, schedule and arrange own activities in accomplishing objectives. Work is reviewed upon completion for adequacy in meeting objectives. Exerts some influence on the overall objectives and long-range goals of the organization.
Represents organization as a prime contact for department assessments. Interacts with senior internal and external personnel on significant matters often requiring coordination between organizations. May develop and deliver presentations.
MANUMS
SNYVLCA
**Basic Qualifications for Manufacturing Analyst:**
+ Bachelor's degree from an accredited college or university with 3+ years of relevant work experience; Master's Degree with 1+ years of experience (Note: an additional 4+ years of relevant work experience may be considered in lieu of degree).
+ 5+ years of experience in audits and assessment techniques and facilitating planning, coordination, investigation, reporting on department assessment requirements and overall Operations Management.
+ Proficient in MS Office products - Excel, PowerPoint and Word.
+ Demonstrated experience in communicating with and presenting to all levels of the Operation organization from factory hourly personnel to executive leadership team.
+ Ability to obtain Secret Clearance which requires US Citizenship.
This requisition may be filled at either a Manufacturing Analyst or a Principal Manufacturing Analyst level.
**Basic Qualifications for Principal Manufacturing Analyst:**
+ Bachelor's degree from an accredited college or university with 6+ years of relevant work experience; Master's Degree with 4+ years of experience. (Note: an additional 4+ years of relevant work experience may be considered in lieu of degree).
+ 5+ years of experience in audits and assessment techniques and facilitating planning, coordination, investigation, reporting on department assessment requirements and overall Operations Management.
+ Proficient in MS Office products - Excel, PowerPoint and Word.
+ Demonstrated experience in communicating with and presenting to all levels of the Operation organization from factory hourly personnel to executive leadership team.
+ Ability to obtain Secret Clearance which requires US Citizenship.
**Preferred Qualifications:**
+ 5+ years of experience in Training factory hourly personnel and professionals.
+ ASQ Certified Quality Auditor.
+ Lean Six Sigma Green Belt or Black Belt Training.
+ Strong understanding of ERP/SAP MES & MRP Functionality.
+ Prior Navy, Submarine and Carrier experience.
**Salary Range:** $72,100 - $108,100
**Salary Range 2:** $88,700 - $133,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Principal / Sr. Principal Manufacturing Systems Engineer (JDH)2024-03-17T06:15:41-04:00https://northropgrumman.jobs/078865A53EA14E5C808DF464AE69121C26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is a trusted provider of mission-enabling solutions for global security. Our Engineering and Sciences (E&S) organization pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
The Engineering & Sciences organization supporting the Microelectronics Design and Applications business area at Northrop Grumman Mission Systems (NGMS) is looking for a Manufacturing Systems Engineer to join our team based out of Linthicum, MD or Annapolis Junction.
As a **Principal/Sr. Principal Manufacturing Systems** **Engineer** , you will perform a critical role in the success of the program. The candidate will be responsible for developing customer contractual needs and requirements into solutions that acknowledges the technical schedule and cost constraints. The role offers many opportunities to expand your technical prowess and upward mobility.
**What You'll Get to Do:**
+ Develops processes by studying requirements, researching, designing, modifying, and testing manufacturing methods and equipment.
+ Collaborates with Design Engineering on design and manufacturability issues.
+ Research and evaluate commercially available tools and equipment to support activities.
+ Analyzes manufacturing processes, mechanisms and equipment for efficiency, capacity, quality, and utilization.
+ Develop Value stream maps and process flows of hardware.
+ Develops and maintains detailed work instructions for assigned products/processes.
**Root** **Cause Analysis**
+ Investigates root cause of discrepancies and implements corrective actions.
**Risk Assessment**
+ Troubleshoots existing processes to improve safety, quality, cost, and efficiency.
**Collaboration and Communication**
+ Represents the organization as a technical contact, interacts with senior personnel on important technical matters, and helps with coordination between organizations.
+ Coordinates with the integration team on day-to-day activities. Resolves complex process issues.
+ Educates/trains operators on new and existing processes.
**This position will serve on-site in Linthicum / Annapolis Junction, MD** **.**
**Basic Qualifications for Principal Manufacturing Systems Engineer:**
+ Bachelor's Degree or higher in a STEM discipline (Science, Technology, Engineering, Math) such as Electrical Engineering, Computer Engineering, Computer Science, Aerospace Engineering, Physics, or a similar technical discipline with 5 years of related experience, or 3 years with a Master's Degree, or 0 years with a PhD .
+ This position requires the applicant to be a U.S. citizen with the ability to obtain and maintain a TS/SCI with poly clearance per business requirements .
+ Experience with product definition/design including Manufacturing Plans and Readiness.
+ Demonstrated experience implementing Agile practices in a production and/or business environment.
+ Demonstrated experience aligning Technical, Architecture, Manufacturing and Schedule activities.
+ Demonstrated experience establishing manufacturing plan and schedule.
+ Demonstrated experience identifying and resolving gaps within production operations.
+ Demonstrated experience with the design and development of manufacturing test systems.
**Basic Qualifications for Sr. Principal Manufacturing Systems Engineer:**
+ Bachelor's degree in a STEM discipline with 9 years of experience, a Master's degree in a STEM discipline with 7 years of experience or a PhD in a STEM discipline with 4 years of experience.
+ This position requires the applicant to be a U.S. citizen with the ability to obtain and maintain a TS/SCI with poly clearance per business requirements .
+ Experience with product definition/design including Manufacturing Plans and Readiness.
+ Demonstrated experience implementing Agile practices in a production and/or business environment.
+ Demonstrated experience aligning Technical, Architecture, Manufacturing and Schedule activities.
+ Demonstrated experience establishing manufacturing plan and schedule.
+ Demonstrated experience identifying and resolving gaps within production operations.
+ Demonstrated experience with the design and development of manufacturing test systems.
**Preferred Qualifications for Principal / Sr. Principal Manufacturing Systems Engineer:**
+ Active DoD TS/SCI with poly security clearance business requirements.
+ Previous experience on EMD projects.
+ Experience with Mechanical/Electrical Drawings.
+ Experience leading or participating in the definition and execution of Manufacturing Plans/ CDRLs, MRA (Manufacturing Readiness Assessments) and MRL's (Manufacturing Readiness Level) per DoD customer requirements.
+ Comprehensive understanding and wide application of technical principle, theories, and concepts in the field.
+ An understanding of Tooling, work instructions, and visual aids.
+ Experience working Designs into pre-production & production.
+ Experience resolving manufacturing process issues & training operators on a new process.
+ Experience with Siemens NX tools, Model Based Engineering, and Manufacturing Execution System (MES).
+ Capable of working with minimal direction, independently determines and develops technical objectives of assignments, and presents work upon completion for high level review.
+ Demonstrated Project Management coordinating technical projects (Cost, schedule and technical performance).
+ Ability to provide and implement technical solutions to advanced problems which require ingenuity and innovation.
\#NGAPS
\#SEITAPS
**Salary Range:** $102,400 - $160,900
**Salary Range 2:** $127,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Goleta) Quality Inspection Manager 12024-03-17T06:15:40-04:00https://northropgrumman.jobs/1B1D13E1F7E84CBD9E5DD4DF11F686E926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
An organization within Northrop Grumman's Payload and Ground Systems (PGS) division, Mission Enabling Products (MEP) is a leading designer, producer, and supplier of spacecraft components that power and enable satellites of all classes. Products include spacecraft panels, bus structures, precision optical structures, deployable structural systems and mechanisms, solar arrays, and antenna reflectors. Our products are on virtually every U.S. satellite built in the last 20 years.
Learn more about Spacecraft Components at our website: https://www.northropgrumman.com/space/spacecraft-components/
Northrop Grumman's Mission Enabling Products, Deployables team has an opening for a Quality Inspection Manager 1 to join our team of qualified, diverse individuals within our Quality organization. The Quality Inspection Manager will support the quality initiatives for solar arrays and deployables manufacturing. This position will have day-to-day responsibilities managing Quality Inspectors located in our Goleta facility. This position will be **based in Goleta, CA.**
**Core Responsibilities:**
+ Provides overall management of Quality Control (QC) inspection teams by setting and operating to objectives
+ Provides guidance to direct reports based on goals, objectives, and company policy
+ Engages on the manufacturing floor and in work activities to provide direct support as needed while additionally coaching and mentoring personnel in quality methods and procedures
+ Maintains compliance with Quality Management System (QMS) policies, procedures, and processes to ensure the performance and quality of products conform to established standards, specifications, and customer requirements
+ Provide performance reviews and periodic feedback for all direct reports
+ Assist in meeting or exceeding the Safety, Quality, Employee, Delivery and Cost expectations for internal and external customers and within the Quality function
**Basic Qualifications:**
+ Bachelor's degree with 5 years of applicable experience as a quality inspection lead; OR 3 years of relevant experience with a Masters' degree; OR 0 years of relevant experience with a PhD; an additional 4 years of experience may be considered in lieu of degree
+ Experience managing people
+ Experience with Quality Management Systems (AS9100, ISO 9001, NADCAP and government standards) and Certifications
+ Knowledge of mechanical and non-destructive inspection techniques
+ Strong passion and relentless drive for achieving results in a fast-paced aerospace engineering and manufacturing environment
+ Excellent interpersonal, communication and organizational skills
+ Strong critical thinking and problem-solving skills
+ Ability to travel to our Oxnard, CA site on occasion
+ US Citizenship is a bona fide requirement
**Preferred Qualifications:**
+ Knowledge of space deployable mechanism technology and advanced manufacturing processes
+ Experience with Supplier Quality and Performance Management
+ Workforce planning and budgeting experience
+ Knowledge of engineering GD&T principles
**Salary Range:** $95,000 - $142,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Dulles) Planning & Scheduling Analyst 2/3- Dulles VA2024-03-17T06:15:40-04:00https://northropgrumman.jobs/FE490CC27D1D4FAEB225A72AB22B604626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking Program Planning & Scheduling Analyst 2/3's to join our team of qualified, diverse individuals. These opportunities will be located in Dulles VA. Will consider hybrid telework options within commutable distance of our Dulles VA facility.
**Roles and Responsibilities include, but are not limited to, the following:**
+ Preparing, developing and coordinating the Integrated Master Schedule (IMS) to meet all program objectives .
+ Ensuring program schedules are horizontally and vertically integrated.
+ Implementing scheduling best practices as prescribed by industry to include, but not limited to:
+ DCMA 14-point
+ IPMR DI-MGMT-81861
+ Earned Value Management System (EVMS)
+ Critical Path Analysis
+ Schedule Risk Assessment (SRA)
+ Schedule Performance, Health Metrics and Analysis
+ Provides weekly and monthly status and reporting
+ Tracking plans and schedules.
+ Creating, maintaining and validating Schedule data.
+ Performing risk analysis and management.
+ Identifying and resolving critical path and network logic conflicts.
+ Utilizing Gantt, milestone charts, Earned Value Management (EVM) and other project management techniques to gauge progress and identify performance variances.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Basic Qualifications:**
**This requisition may be filled at either a level 2 or a level 3.**
**Basic Qualifications for a Level 2:**
+ Bachelor's degree with 3+ years of related experience in program/project planning and scheduling, logistics or supply chain - OR - a Master's degree with 1+ years of related experience. An additional 4 years of experience accepted in lieu of a degree.
**Basic Qualifications for a Level 3:**
+ Bachelor's degree with 6+ years of related experience in program/project planning and scheduling, logistics or supply chain - OR - a Master's degree with 4+ years of related experience. An additional 4 years of experience accepted in lieu of a degree.
**Additional Basic qualifications for both levels:**
+ Strong skills and proficient knowledge with Microsoft Office Suite, including MS Excel and PowerPoint.
+ Experience with scheduling software (i.e., MS Project 2016, Open Plan, PS3, Primavera P6, Milestone Professional, Deltek, SSI Tools).
+ Ability to obtain a Top Secret/SCI security clearance. US Citizenship is a prerequisite.
**Preferred Qualifications:**
+ Current DoD Top Secret/SCI security clearance. US Citizenship is a prerequisite.
+ Proficient in MS Project 2016
+ Experience with resource loading Schedules.
+ Earned Value Management Systems (EVMS) experience and Schedule Management.
+ Experience with Schedule Risk Assessment (SRA).
**Salary Range:** $79,300 - $118,900
**Salary Range 2:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Beale AFB) Classified Cybersecurity Analyst - Top Secret2024-03-17T06:15:39-04:00https://northropgrumman.jobs/15D20E4DBE2B409C8AA3F960FAB2DD4526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Cybersecurity Professionals, We Want You!**
Cyber assets everywhere are under siege from a wide spectrum of threats. Almost daily, these threats grow in sophistication, breadth and speed.
At Northrop Grumman, we take a holistic approach to cybersecurity, looking at the whole cyber landscape of people, processes and technology and the whole security realm of offense, defense, and exploitation. Thought leadership demands nothing less.
If you are an expert with classified computers within the Department of Defense (DoD) and Intelligence Community computing environments, Northrop Grumman Corporation has fantastic opportunities for your career growth.
We are seeking novice level **Information Systems Security Professionals** across the country to support information systems lifecycle activities. The selected candidate will be required to work on-site, full-time at our Beale Air Force Base location. The individual will perform the following duties on a day-to-day basis in support of the program:
+ Perform assessments of systems and networks within the networking environment or enclave and identify where those systems and networks deviate from acceptable configurations, enclave policy, or local policy.
+ Establishes strict program control processes to ensure mitigation of risks and supports obtaining certification and accreditation of systems. Includes support of process, analysis, coordination, security certification test, security documentation, as well as investigations, software research, hardware introduction and release, emerging technology research inspections and periodic audits.
+ Assist in the implementation of the required government policy, make recommendations on process tailoring, participate in and document process activities.
+ Perform analyses to validate established security requirements and to recommend additional security requirements and safeguards.
+ Support the formal Security Test and Evaluation (ST&E) required by each government accrediting authority through pre-test preparations, participation in the tests, analysis of the results and preparation of required reports.
+ Document the results of Certification and Accreditation activities and technical or coordination activity and prepare the system Security Plans and update the Plan of Actions and Milestones POA&M.
+ Periodically conduct a complete review of each system's audits and monitor corrective actions until all actions are closed.
If you are ready to solve complex problems in a dynamic environment, apply today!
Note: Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
**Basic Qualifications:**
+ Master's degree with 0 years of relevant experience OR a Bachelor's degree with 2 years of relevant experience OR an Associate's degree with 4 years of relevant experience OR a High School diploma or equivalent with 6 years of relevant experience
+ DoD 8570 IAM level I (or higher) security certification (Examples: CND, Cloud+, Security+ CE)
+ DOD Top Secret level security clearance with a closed investigation date completed with the last 6 years OR must be currently enrolled in the DOD Continuous Evaluation Program (CEP)
+ Must have the ability to obtain, and maintain, access to Special Programs as condition of continued employment
**Preferred Qualifications:**
+ The ideal candidate will have a Bachelor's degree in Cyber Security, a Security+ CE, and 3 years of experience with Certification & Accreditation of classified systems under RMF (Risk Management Frameworks)
+ Knowledge of ACAS, NESSUS, SPLUNK, SCAP, POA&Ms, NIST, JSIG, system audits, vulnerability scanning, and/or RMF package development preferred
+ Current Top Secret, SCI SAP/SAR access, is preferred
ESCSO
ESCyberInfoSec
**Salary Range:** $83,300 - $124,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Fort Meade) Staff Cyber Systems Administrator (SB)2024-03-17T06:15:39-04:00https://northropgrumman.jobs/1C5CB9F54E044AF39605CD002923A3D126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Maintains smooth operation of multi-user computer systems, including coordination with network administrators. Interacts with users and evaluates vendor products. Makes recommendations to purchase hardware and software, coordinates installation and provides backup recovery. Develops and monitors policies and standards for allocation related to the use of computing resources. Ancillary duties may include setting up administrator and service accounts, maintaining system documentation, tuning system performance, installing system wide software and allocating mass storage space.
**Roles and Responsibilities:**
The candidate will be filling a role that requires a broad array of knowledge and skills heavily focused on Systems Administration and system engineering working with team members to support a Cyber Security program at a customer site. We're looking for a highly motivated individual with an impeccable work ethic and a strong ability to work in a collaborative fast-moving dynamic team environment.
**Responsibilities include:**
+ Maintains smooth operation of multi-user computer systems, including coordination with Cyber Systems Engineers.
+ Interacts with users and evaluates vendor products.
+ Makes recommendations to purchase hardware and software, coordinates installation, and provides backup recovery.
+ Develops and monitors policies and standards for allocation related to the use of computing resources.
+ Ancillary duties may include setting up administrator and service accounts, maintaining system documentation, tuning system performance, installing system wide software and allocating mass storage space.
+ Periodically conduct a complete review of each system's audits and monitor corrective actions until all actions are closed.
**Basic Qualifications:**
+ Bachelor's degree in a related field, plus 14 years of experience in Systems Administration; or 18 years of Systems Administration experience without a degree
+ US Citizenship is required with a current and active DoD TS/SCI with Polygraph is required to start.
+ Possess a DoD 8570 Certification for IAT Level II or higher.
**Preferred Qualifications:**
+ Prior administration of virtualization environments using technologies such as ESX, storage provisioning and networking of virtual systems
+ Experience configuring, administering and maintaining computer systems (Such as: Windows 10, Server 2012R2, Server 2016, Active Directory, RedHat Enterprise Linux)
+ Experience writing standard operating procedure documentation and developing system cold-start documentation
+ Experience maintaining and operating virtual environments for servers and workstations
+ Experience configuring, administering, and maintaining patch deployment and management systems and providing patch management status reporting to management
+ Experience configuring, monitoring, maintaining, and administering computer backup and recovery solutions and providing recovery status reporting to management
+ Experience writing scripts (e.g. Batch, Bash, PowerShell, Python) to automate routine tasks
**Salary Range:** $149,900 - $224,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Schriever AFB) Principal Cyber Software Engineer 3 - Software Assurance2024-03-17T06:15:39-04:00https://northropgrumman.jobs/209F7108AE5345A6A04FC51FA5850DB526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems - Launch and Missile Defense Systems has an exciting career opportunity for a Principal Cyber Software Engineer - Software Assurance to join our team of qualified, diverse individuals. This position will be located at Schriever Space Force Base (SSFB), CO.
**Position Overview:**
As part of the Specialized Warfighter Development Contract (SWDC) Modeling & Simulation Software (MSS) program offers opportunities to support a wide range of Missile Defense Agency (MDA) directorates with multiple products & capabilities under the MSS Task Order. The selected Principal Cyber Software Engineer will support the Software Assurance team in implementing software assurance policies and procedures, code reviews for security issues, cyber risk analysis, supporting the software architecture to ensure security design patterns and good secure coding practices are implemented. Work will be conducted at SSFB and Northrop Grumman facilities in Colorado Springs.
You will have the ability to take ownership of problems and drive to a solution, while working in a team environment. You will apply technical expertise in solving complex integrations problems. You will also interact with Program Management, external suppliers and/or government customers.
The ideal candidate will also have expertise in CI/CD pipelines to assist teams in setting up and administering CI/CD to incorporate DevSecOps best practices.
The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, collaborative/team settings across all levels.
**Basic Qualifications:**
+ Bachelor's degree in computer science, Software Engineering, Electrical Engineering, Computer Engineering, or related technical field from an accredited university with a minimum of 5 years' experience in Information Assurance and Software Development or a Master's degree in computer science, Software Engineering, Electrical Engineering, Computer Engineering or related technical field and 3 years of applicable experience.
+ Experience in a software/system security role.
+ Experience with risk management framework, security controls, STIGs.
+ Solid Experience using C++.
+ Experience with software test/development and use of static code analysis tools.
+ Strong organizational skills to handle multiple priorities.
+ Excellent oral and written communication skills.
+ Must have a current and active DoD Secret security clearance.
**Preferred Qualifications:**
+ Experience with Windows and Linux.
+ Experience with Coverity and/or Fortify.
+ Experience with Bamboo and/or Jenkins, or similar CI/CD tools.
+ Experience with eMASS.
+ Familiarity with the Atlassian tool suite.
+ Familiarity with the Agile software development process.
+ Any one of the following certifications is desirable: CISSP, CISM, CEH or CSSLP.
+ Experience with networking basics.
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment that encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family. Your benefits will include the following:
Health Plan
Savings Plan
Paid Time Off
Education Assistance
Training and Development
Flexible Work Arrangements
https://benefits.northropgrumman.com/us/en2/BenefitsOverview/Pages/default.aspx
NGSpace
COSpace
NGFeaturedJobs
**Salary Range:** $107,300 - $160,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-HI-Pearl Harbor) Sr Principal User Application Liaison - C2BMC INDOPACOM Liaison Officer (24-054)2024-03-17T06:15:39-04:00https://northropgrumman.jobs/CA75E08E6DB84C44B4DF9E0EA43D231726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems-Launch and Missile Defense Systems has an exciting career opportunity for a senior principal User Application Liaison-C2BMC INDOPACOM Liaison Officer (24-054) to join our team of qualified, diverse individuals. This position will be located on-site in Honalulu Hawaii.
**Position Overview:**
As a primary function, the individual selected for this position will act as the lead interface between the C2BMC National Team (NT) and the United States Indo-Pacific Command (USINDOPACOM), which includes the 94th Army Air and Missile Defense Command (AAMDC). The selected individual will work closely with Missile Defense Agency (MDA/BCWW) and Warfighter Liaison Officers (LNOs) across the Combatant Commands to ensure C2BMC capabilities are maximized for warfighter needs (test, training, operations, exercises/wargames).
**Essential Functions:**
+ Candidate must have a strong grasp on:
+ The unique needs of USINDOPACOM 's operational environment
+ USINDOPACOM's multi-national data sharing and data integration issues
+ Tactical and integrated Communications Systems for the Tactical Area of Concern
+ Global and regional LNO teaming to enable unit-level command and control, battle management, and communication (C2BMC) systems.
+ Briefing at all levels within USINDOPACOM; to include periodic briefing at the General Officer/Flag Officer (GO/FO) level.
+ Self-starting, problem-solving, and achieving strategic mission objectives with limited supervision.
+ Interfacing with C2BMC National Team (NT) functional areas to define, evaluate, and implement engineering solutions.
+ Facilitating and socializing Asset Management constraints, as generated by MDA and C2BMC NT
+ Interfacing with MDA and C2BMC Command Center (CCC) personnel for operations plan approval and status updates
+ Rapid analysis of exceptionally difficult operational and technical concepts requiring ingenuity and innovation to ensure warfighter readiness.
+ Dynamic operational planning, scheduling, C2BMC program scope, internal/external office communications, and briefing materials
+ OCONUS travel logistics.
**Basic Qualifications:**
Please note your updated security clearance and IAT/relevant certifications on your resume if applicable.
+ An active top-secret clearance is required to start.
+ 10 years with a Bachelor's degree in a related field; 14 years experience in lieu of a degree.
+ Direct experience supporting Command and Control (C2) systems in the operational arena and Air Operations Centers (AOC)
+ Experience working in a joint or service command headquarters staff environment.
+ Clear understanding of USINDOPACOM's operational environment, processes, and personnel
+ Candidate must know about the GCC Command and Control Systems, i.e., Data Link Infrastructure for the AOR.
**Preferred Qualifications:**
+ Previous experience with Ballistic Missile Defense/Defeat and Command and Control Systems is highly desirable.
+ Strong grasp on emerging threats (Hyper-sonic, hyper-glide, etc.) desired.
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment that encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family. Your benefits will include the following:
+ Health Plan
+ Savings Plan
+ Paid Time Off
+ Education Assistance
+ Training and Development
+ Flexible Work Arrangements
https://benefits.northropgrumman.com/us/en2/BenefitsOverview/Pages/default.aspx
NGSpace
COSpace
NGFeaturedJobs
C2BMC
**Additional Northrop Grumman Information:**
**Salary Range:** $115,400 - $173,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Manager Contract Administration 1 (Security Clearance REQUIRED)2024-03-17T06:15:39-04:00https://northropgrumman.jobs/FB48BF603B7F428F9337537CFC37D84A26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
N orthrop Grumman Mission Systems Sector is seeking a **Mgr, Contracts Administration 1** to join their team in Linthicum, MD or Annapolis Junction, MD. This new role is being created to support growth of legacy programs within the new Northrop Grumman Microelectronics Center. The portfolio requires a working-level manager who will have responsibility for programmatic duties as well as oversight of a small team.
**What You'll Get to Do:**
Responsibilities:
The successful candidate:
+ Administers, extends, negotiates and terminates standard and nonstandard contracts.
+ Conducts proposal preparation, contract negotiation, contract administration, and customer contact activities to provide for proper contract acquisition and fulfillment in accordance with company policies, legal requirements, and customer specifications.
+ Executes the flow of critical information between the program managers / program directors and internal stakeholders such as Global Supply Chain and Business Management, and the Law Department.
+ Examines estimates of material, equipment services, production costs, performance requirements, and delivery schedules to ensure accuracy and completeness.
+ Prepares bids; processes specifications, progress, and other reports; advises management of contractual rights and obligations; compiles and analyzes data; and maintains historical information.
**Basic Qualifications:**
+ Bachelor's Degree and 5 years experience working in Contracts Administration or related field or 3 years with a Master's degree
+ Proficiency in MS Office including Outlook, Excel, Teams, and PowerPoint
+ Contracts Administration in a FAR/DFARS environment
+ Background in DoD contracting, prior experience with the USG and/or major defense contractor customers
+ Experience negotiating and administering various contract types of varying sizes and types of agreements
+ Strong proposal development skills, solid negotiation skills, and excellent written and oral communication skills
+ Active **Top** Secret clearance
**Preferred Qualifications:**
+ Experience working in a classified program environment
+ Demonstrated 1+ year(s) of leadership or supervisor experience
+ Familiarity with an enterprise resource planning (ERP) tool such as SAP
**What We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $119,800 - $188,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Melbourne) Manager 2 Materials & Process Engineering2024-03-17T06:15:38-04:00https://northropgrumman.jobs/E161AC843E30412FA6AFE259E4C9EF8426At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems (NGAS)** has an opening for a Functional Homeroom Section Manager to lead a team of qualified, diverse **Materials & Processes (M&P)** team in **Melbourne, FL** . This is a hands-on leadership role encompassing both functional management (approx. 15%) and technical performance (approx. 85%).
**Job Summary:** In this role, you will manage the functional engineering activities of approximately ten Materials and Processes Engineers, supporting multiple programs. You will be responsible for design product integrity, process adherence, staffing, training and tools. Specific responsibilities include materials and processing technical oversight, participation in the performance review process, management of personnel records and participation in corrective or disciplinary actions. Team engagement is a key focus of this role as is the ability to mentor, provide career guidance and coaching, and to lead others.
**Responsibilities may include:**
+ Analyzes, researches, designs and develops materials and their related fabrication and application processes to develop and optimize materials for use in engineering design of and/or application in structures, systems and subsystems.
+ Applies principles of chemistry, physics, and material behavior to develop metallic, non-metallic and composite material and processing specifications, fabrication and assembly processes.
+ Develops, analyzes and applies material properties and design allowables, processing processes and quality engineering specifications.
+ Material analyses may include root cause and failure analysis of components, systems and subsystems, life predictions, and definition and requirement specifications.
+ May review and approve subcontractor material processing procedures
+ Staffing responsibilities such hiring, reduction in force actions, recruiting of personnel and working to deploy to programs materials engineers with the appropriate skills and experience.
+ Managing training opportunities and budget allocations
+ Participates in tool, process, and metrics initiatives
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement, and respond vigorously to change.
**Basic Qualifications:**
+ Bachelor of Science degree in a Science, Technology, Engineering, or Math (STEM) discipline and 9 years of relevant experience; OR Master of Science degree in relevant STEM discipline and 7 years of relevant experience
+ Experience in the Materials Engineering field
+ Must have the ability to obtain and maintain DoD Secret and Special Access Program (SAP) clearances
**Preferred Qualifications:**
+ Degree in Metallurgy or Materials Science
+ Current DoD Secret clearance (or higher)
+ Prior experience in a technical lead or management role
+ Experience interfacing with a DoD customer
+ Excellent verbal and written communication skills
+ Ability to collaborate within a team and across team environments
+ Ability to prioritize multiple responsibilities and/or accomplish them simultaneously to accomplish the project result
+ Ability to comprehend and analyze complex problems and develop solutions
+ Ability to lead an engineering or cross-functional team
**Salary Range:** $119,300 - $178,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-NM-Kirtland AFB) Staff Chief Engineer (AHT)2024-03-17T06:15:37-04:00https://northropgrumman.jobs/647C08BF30E6479DB5ACE0AF9608765326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is seeking a **Staff Chief Engineer** to work with the 705 Combat Training Squadron (CTS) Infrastructure, Development and Engineering (IDE) program to develop and maintain simulation software (SW) and hardware (HW) solutions, build, and maintain network infrastructure, design, and develop simulation SW applications, and evolve and conduct Live-Virtual-Constructive (LVC) Distributed Mission Operations (DMO) activities for the 705 CTS and Distributed Mission Operations Center (DMOC). This position is in **Albuquerque, NM.**
**Responsibilities for the Chief Engineer include** :
+ Provides engineering support for the DMOC Battlespace/DevSpace infrastructure, development of new and upgraded system capabilities, O&M activities, and engineering DMO solutions
+ Ensures that the program is adhering to sound engineering processes in the development, production, test and sustainment products for our customers and is compliant with company policy, procedures, and quality standards
+ Maintains cognizance over technical activities within program Integrated Product Teams (IPTs) and ensures horizontal integration of the best engineering practices across the company
+ The Chief Engineer is expected to mentor Senior IPT leaders as part of this position
+ Guiding system implementation approaches for operational concepts
+ Authoring technical documentation such as white papers, proposal technical volumes, program milestone briefings, systems engineering design documentation
**Basic Qualifications** :
+ BS degree in Aerospace Engineering, Electrical Engineering, Physics or related technical degree with 20 years (or MS with 15 years, or PhD with 12 years) related experience
+ **Top Secret security clearance with eligibility for SCI and SAP/SAR**
+ At least 3 years of specific experience in Live, Virtual, Constructive (LVC) or Distributed Mission Operations (DMO) training capabilities
+ Knowledge of distributed simulation protocols such as DIS, HLA, TENA
+ Experience in developing, integrating, or the analysis of virtual, constructive and/or distributed simulation systems, or the operational use of these simulation systems.
+ Professional experience in at least 2 of the following technical areas: Networking, IT Infrastructure, Weapons Systems Simulation or Simulator Architecture, Virtualization/Cloud/Hybrid Cloud/Containerization Technologies, RMF/JSIG Cybersecurity
**Preferred Qualifications:**
+ 5+ years of specific experience in Modeling and Simulation (M&S)/Live, Virtual, Constructive (LVC) or Distributed Mission Operations (DMO) training operations
+ Prior leadership experience in Chief Engineer role or equivalent
+ In depth understanding of DIS and HLA protocols
+ Experience with use and operations of DoD Aircraft Systems such as IFF, Radar Systems, Radio Communications, Data Links, Munitions, Electronic Warfare, Aircraft Representation
+ Professional experience in 3 or more of the following technical areas: Networking, IT Infrastructure, Weapons Systems Simulation or Simulator Architecture, Virtualization/Cloud/Hybrid Cloud/Containerization Technologies, RMF/JSIG Cybersecurity
+ Proven experience migrating physical assets to a virtual environment
+ Experience leading teams overseeing IT infrastructure including Linux and Windows systems
+ MBSE experience, particularly with Cameo
+ Agile Teams experience
**Salary Range:** $142,500 - $213,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Senior Principal Property and Asset Management Analyst (Government and Capital)2024-03-17T06:15:36-04:00https://northropgrumman.jobs/01D06551CDCB481AAA84FAE56BD8AAA526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Sector (NGAS) is seeking a Senior Principal Property/Asset Management Analyst to join our team of qualified, diverse individuals. This position will be in Palmdale, CA.
Put your years of experience into a future of excellence by joining our collaborative team with professionals across the nation that are ready to help launch your career. The qualified applicant will become part of Northrop Grumman's Property/Asset Management organization, coordinating property control activities to ensure continual accountability of government/customer and company assets in compliance with applicable government regulations and corporate policies.
Roles and Responsibilities:
+ Oversee, manage, and analyze activities associated with Property/Asset Management business systems to ensure US Government, Customer and Company property located at Northrop Grumman and its suppliers are managed in accordance with applicable regulations and policies to achieve best value and operational excellence.
+ Conduct internal and external property assessments and analyze results for financial and operational purposes; provide guidance to Contracts and Programs regarding Property life-cycle management and government property contractual requirements.
+ Primary Property Management point of contact for spares and repairs contracts.
+ Able to interact and effectively communicate with Government counterparts and upper management.
+ Perform contractor self-assessment audits in accordance with Northrop Grumman processes and procedures.
+ Coordinate with multiple disciplines to ensure processes are performed and documentation is maintained as required by NGAS Procedures and USG FAR regulations.
+ Ability to compute, analyze, and interpret data for reporting purposes.
Basic Qualifications:
+ Bachelor's degree with 10 years or Master's degree with 8 years of experience and knowledge of **progressive experience in Government Property & Asset Management**
+ 14 years of government property asset management experience will be considered in lieu of degree
+ Knowledge of Government Property related contractual requirements, **including FAR Part 45, FAR 52.245-1, and DFARS property management clauses**
+ Experience with data analytics, data mining, and/or data integrity
+ Proficient with Tableau, Microsoft Office including PowerPoint and Excel formulas, charts, and pivot tables
+ **Active DoD Secret clearance required to start with the ability to obtain Top Secret clearance**
Preferred Qualifications:
Candidates with these desired skills will be given preferential consideration:
+ NPMA Certified Professional Property Specialist or Administrator (CCPS, CPPA) certification
+ Experience in developing and implementing processes and procedures in accordance with leadership strategy
+ Property Management experience supporting manufacturing facilities
+ Risk Management experience including development of RCCAs and implementation of corrective action plans.
+ Experience supporting and/or performing compliance audits including Property Management System Audits (PMSA)
+ Experience with industry leading practices, techniques and standards as they pertain to property and asset management.
+ Knowledge or understanding of MMAS, ISO/AS9100, and SOX standards that impacts asset management
+ Familiarity with SAP (Systems, Applications, and Products
**Salary Range:** $100,000 - $150,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Schriever AFB) Manager Systems Engineering 12024-03-17T06:15:35-04:00https://northropgrumman.jobs/26AEB663C2D04735983D4EE208A8D06626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Threat Products and Services is responsible for the development and delivery of high-fidelity threat modeling products in support of MDA and MDA's Ballistic Missile Defense System. This position requires a detail-oriented engineer responsible for developing high fidelity ballistic missile and advanced threat models for use in a variety of modeling and simulation tools/framework/media. Must have a good understanding of modeling and simulation methods and processes. The selected individual must be able to work with little or no direct supervision, work as an integral member of a product delivery team and meet time critical delivery schedules.
As a manager, the Engineering Manager 1 will be expected to develop and mentor a team of engineers. The Tech Lead will perform all functional management duties for their direct reports, including career development/opportunity management, goal setting, promotion planning, execution of the annual performance review process, and providing regular performance feedback. The Tech Lead can expect to spend 20-25% of their time on manager-related activities.
**Basic Qualifications:**
+ Bachelor's Degree in a STEM (Science, Technology, Engineering or Mathematics) discipline preferred and 5 years of related experience, or a Master's degree and 3 years experience, or a PhD and 0 years of experience.
+ Proven demonstrated leadership skills and the ability to work effectively in a team environment
+ Must be self-motivated, able to work in a dynamic team environment
+ Experience or familiarity with Agile and SAFe methodologies
+ Must already possess an active DoD Secret clearance
**Preferred Qualifications:**
+ Direct supervisory experience and/or significant team leadership
+ Strong math, physics or engineering background is preferred
+ Familiarity with the engineering development lifecycle
+ Familiarity Modeling, Simulation, and automation experience
+ Familiarity with the Missile Defense System
+ Previous experience with modeling flight characteristics, motion, atmospheric dynamics, and missile performance parameters of ballistic missiles is highly desirable
+ Strong background in missile system engineering
+ Mastery of engineering modeling principles behind body motion, trajectory generation, counter measures, debris, and signature modeling is a plus
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment that encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family. Your benefits will include the following:
Health Plan
Savings Plan
Paid Time Off
Education Assistance
Training and Development
Flexible Work Arrangements
https://benefits.northropgrumman.com/us/en2/BenefitsOverview/Pages/default.aspx
NGSpace
COSpace
NGFeaturedJobs
**Salary Range:** $115,000 - $172,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Northrop Grumman (DoD SkillBridge) RF Microwave Design Engineer / Principal RF Microwave Design Engineer - TS Clearance Required to Start2024-03-17T06:15:35-04:00https://northropgrumman.jobs/60259F131631442487BA6D279CF0FB4726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identifies as veterans, and more than 1,600 are reservists.
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29 . NG-MIP is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military Internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members.
**Responsibilities for this internship position are:**
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SKillbridge) utilizing the DoDI guidance for Skillbridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
**Goals** - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
Objectives - Service Members who complete the Intern program will be highly trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
**Outcome** - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**DoD SkillBridge Eligibility:**
+ **Has served at least 180 days on active duty**
+ **Is within 12 months of separation or retirement**
+ **Will receive an honorable discharge**
+ **Has taken any service TAPS/TGPS**
+ **Has attended or participated in an ethics brief within the last 12 months**
+ **Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.**
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
Northrop Grumman Space Systems is seeking experienced engineers in the following areas: Antennas, Electromagnetics, Computational Electromagnetics, RF/Microwave design and Software development. The RF Microwave Design Engineer will join a team working toward advanced optimization algorithms that leverage high-fidelity Computational Electromagnetic (CEM)-based simulations. This position will be based in Baltimore, MD, supporting our Remote Sensing Program (RSP) Business Unit.
**Responsibilities may include:**
Designs, develops, tests radio frequency (RF) and/or microwave systems architecture, Sub-systems, circuits, or products. Includes antenna design, both reflector and array systems, frequency synthesizers, transmitters, and receivers. General frequent use and application of technical standards, principles, theories, concepts, and techniques. Demonstrates the skill and ability to perform moderately complex professional tasks. Provides solutions to a variety of technical problems of increasing scope and complexity as assigned.
In addition, this candidate should demonstrate familiarity/technical ability in one or more of the following areas:
• Active electronically scanned arrays (AESAs)
• Conducting Test Readiness Reviews (TRR)
• Documentation experience, generating test procedures, test reports, RF requirements, data analysis, data presentations
• Data Processing
• Highly integrated subsystems including antenna hardware
• Reflectors, Reflect Arrays, Digital Beam Forming
• Antenna/RF Performance Analysis
• Computational Electromagnetics, Statistics
• Antenna or Subsystem Control Design
• Antenna Measurements and Data Reduction
• Antenna range integration, test, and system integration
• Subsystem requirements (hardware and software) derivation, flow-down, and configuration
**This position can be filled at level 2 or level 3 based on the basic qualifications below.**
**Basic Qualification RF Microwave Design Engineer:**
• Must have a bachelor's degree STEM degree or related discipline with 2 years of experience in the areas described in the job description. 0 years of experience with a master's degree
• Active electronically scanned arrays (AESAs)
• Experience using RF test equipment (Signal Generators, Network Analyzers, Spectrum Analyzers)
• Experience using MATLAB.
• ACTIVE TS Clearance Required with the ability to obtain and Maintain a TS/SCI clearance
**Preferred Qualifications:**
• TS/SCI
• Experience using modeling tools such as STK and HFSS
**Basic Qualification Principal RF Microwave Design Engineer:**
• Must have a bachelor's degree STEM degree or related discipline with 5 years of experience in the areas described in the job description. 3 years of experience with a master's degree and/or 0 years of experience with a PhD
• Active electronically scanned arrays (AESAs)
• Experience using RF test equipment (Signal Generators, Network Analyzers, Spectrum Analyzers)
• Experience using MATLAB.
• ACTIVE TS Clearance Required with the ability to obtain and Maintain a TS/SCI clearance
**Preferred Qualifications:**
• TS/SCI
• Experience using modeling tools such as STK and HFSS
**Salary Range:** $83,300 - $124,900
**Salary Range 2:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Redondo Beach) Sr Principal Project Management2024-03-17T06:15:34-04:00https://northropgrumman.jobs/25A67394639A4E0EBC6345CE0AE2680626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems** is seeking a **Sr Project Management** position to join our team of qualified, diverse individuals on our F-35 program based in Redondo Beach , CA.
This individual will be responsible for administering the overall F-35 Risks, Issues, and Opportunity (RIO) Management process. This person will integrate all Risks, Issues, and Opportunities cross four Operating Units (Production, Development, Sustainment, and International Programs and STATE) and Functions on F-35 program into the TRIO tool and be responsible for all TRIO tool administrative management. The individual will support the Business Unit leaders in following up with actions, ensuring the tool is up-to-date, and holding RIO owners accountable. The RIO Project Manager will interface with multiple disciplines including internal Program Management, Integrated Product Team (IPT) Leaders, Functional Leaders, and Chief Engineer's Office. The candidate will also be responsible for all administration pertaining the management of Non-Contracted Technical Activity (NCTA) and Indirect Funds under the direction of the F-35 Program Integration Director.
+ Maintains and responsible of the Risks, Issues, and Opportunity (RIO) management plan.
+ Troubleshoot and administrative management of the Program-selected TRIO tool.
+ Owns overall quality and validity of all Program vetted Risks / Issues / Opportunities.
+ Ensure adherence/compliance of program RIO process against corporate and sector procedures.
+ Works collaboratively with risk owners and associated Control Account Managers (CAMs) to meet risk mitigation and opportunity development commitments.
+ Provides horizontal and vertical integration of the RIO SOW for each of the Operating Units and IPTs.
+ Executes a proactive risk identification process and to understand and evaluate new affordability opportunities and developments.
+ Verify and support all aspects of Corporate, Sector and DCMA audits on the risk, issues, and opportunities processes.
+ Act as primary contact for all program RIO activities, including leadership reviews and customer reviews.
+ Lead program wide monthly review boards for Risks, Issues and Opportunity
+ Provides administrative and project support to the Program Integration Director in managing NCTA and Indirect Funds.
+ Liaisons with the Air Dominance Division (ADD) on NCTA and Indirect Funds and submit inputs for monthly ADD Resource Review Board
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement, and respond vigorously to change.
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
**Basic Qualifications:**
+ Bachelors degree and 10 years (or Bachelor of Science in STEM and 9 years) of related experience as defined below, OR a Master's degree and 8 years (or Master of Science in STEM and 7 years) of related experience as defined below.
+ Related experience includes professional and/or military experience to include a background in project management, program management, engineering, capture efforts, and/or business management related functions.
+ Knowledge of Project Management principles and experience utilizing them professionally. (managing projects, scheduling, budget)
**Preferred Qualifications:**
+ Demonstrated ability to develop and influence project team relationships
+ Experience of familiarity with aircraft production, supply chain, engineering, software development, and product support
+ F-35 Program Familiarity
+ Experience with risk, issue, and opportunity development.
+ Program Integration and Risk Management experience
+ Proficient in AS Sector Tracking Risk, Issues, and Opportunity (TRIO) Tool
**Salary Range:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Logan) Experimental Machinist 2/32024-03-17T06:15:34-04:00https://northropgrumman.jobs/F2E84F1DE65D4776AC41344F035110B226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity, and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman** is in need of an **Experimental** **Machinist** to work at its **Logan, UT** facility. This position will be hired to level 2 or level 3 dependent upon demonstrated skills, experience, and education level.
Our Logan, UT team researches, designs, develops, produces, and tests a variety of mechanical, electrical/electronic, and electro-optical equipment and systems including low background infrared scene/source generators, optical collimators and scan mirror systems, cryogenic positioning hardware, infrared imaging, and instrumentation of vacuum/space/environment chambers. We support multiple prime contractors in the development and production of both test systems and flight hardware for a multitude of applications including tactical missiles, launch detection, and missile defense systems. Our work protects our country from enemy threats.
**This position can be hired at a level 2 or level 3 dependent upon demonstrated skills, experience, and education level of the selected candidate.**
**Job Description:**
+ Plans the layout, fabrication, and testing of mechanical devices; sets up and operates any type of precision machine tool to make original parts, tools, mechanisms, and devices for experimental purposes.
+ Solves problems relative to work-holding arrangement, unusual materials, machine settings, tools, product specifications, and fabrication methods for production process.
+ Normally performs precision work to exacting tolerances and dimensions for research engineers in a developmental laboratory condition.
+ Some machines may be numerically controlled.
+ May monitor and verify quality in accordance with statistical process or other control procedures.
+ Typically requires completion of a formal apprenticeship and many years of precision all-around machining experience on unusual, original, and intricate layout, machining, and assembly tasks.
**Basic Qualifications - Machinist level 2**
+ High school diploma or equivalent education
+ Minimum 2 years of experience working in a machine shop
+ Ability to interpret blueprints, sketches, GD & T, and other engineering specifications
+ Working knowledge of shop floor mathematics and measuring tools/equipment
+ Ability to use basic hand tools and precision measuring instruments
+ Ability to set-up and operate a variety of machine tools such as lathes, milling machines, and grinders
+ Ability to set-up, program, and operate CNC machines including the use of Mastercam software
+ Ability to lift up to 50lbs
+ Ability to demonstrate work safely and with concern for the safety of others
**Basic Qualifications - Machinist level 3**
+ High school diploma or equivalent education
+ Minimum 4 years of experience working in a machine shop
+ Ability to interpret blueprints, sketches, GD & T, and other engineering specifications
+ Working knowledge of shop floor mathematics and measuring tools/equipment
+ Ability to use basic hand tools and precision measuring instruments
+ Ability to set-up and operate a variety of machine tools such as lathes, milling machines, and grinders
+ Ability to set-up, program, and operate CNC machines including the use of Mastercam software
+ Ability to lift up to 50lbs
+ Ability to demonstrate work safely and with concern for the safety of others
**Preferred Qualifications:**
+ Associates Degree
+ 2+ years of CNC 3-5-axis machining experience
+ Experience with and fundamental understanding of G-Code
+ CNC Machinist Certification from an accredited Trade School
+ Ability to understand and work with knowledge of Lean manufacturing principles and disciplines.
+ Experience in following written set-up instructions and/or performing unique setups
+ Demonstrated ability to solve unique machining challenges
+ Experience with Haas VMCs and/or EZ-Trak mills (Milltronics controls)
+ Experience with EDM equipment
+ Experience TIG/GTAW welding aluminum and stainless steel
+ Excellent communication, problem solving, and troubleshooting skills
+ Willingness to learn and possess a positive team working attitude
**Salary Range:** $42,000 - $70,000
**Salary Range 2:** $49,900 - $83,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Northridge) Mgr HR Business Partner 32024-03-17T06:15:33-04:00https://northropgrumman.jobs/812BC21B38EB4ED7B68011B551F4ADAB26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems Sector (DS) is seeking a **Manager, HR Business Partner 3** to join our **Northridge, CA** team. The selected candidate will manage a team of HR Business Partners in addition to the following duties:
**Job Summary:**
+ Delivery of HR human capital strategy to the assigned client groups while growing the team and developing the next generation of HR leaders
+ Establish intimate understanding of the business, build and expand relationship with clients and create close partnerships across HR, centers of excellence (COEs) and matrixed organizations
+ Support and partner with HR colleagues in talent acquisition; compensation; benefits; employee relations; diversity, equity & inclusion; and organizational effectiveness to support talent strategies
+ Identify trends, risks, and opportunities within the organization and develop solutions in partnership with division and COEs
+ Advise leadership on best practices in the areas of talent acquisition, development, compensation, organizational effectiveness, strategy, change management, and communications
+ Analyze and concisely present information to the management team to support and enable business growth and sound decision making
+ Provide business insight through data analysis, research, and benchmarking
+ Play a key leadership role in reinforcing a culture of growth, innovation, and calculated risk taking through the application of human capital strategy, tools, and processes
+ Focus on comprehensive Leadership Development activities including succession planning and strategic leadership to build talent pipeline and depth
+ Support and enable leaders to make informed decisions about talent and to hold their organizations accountable for results
**Basic Qualifications:**
+ High School degree with a minimum of 14 years of experience in Human Resources, OR Bachelor's degree with a minimum of 10 years of experience in Human Resources, OR Masters with a minimum 8 years of experience in Human Resources
+ Demonstrated success in the application of HR principles, concepts, policies, and practice
+ Proficient verbal and written communication skills, with demonstrated ability to effectively communicate with and influence others
+ Proficient in Microsoft Office (Word, Excel, PowerPoint)
+ Keen ability to influence, coach, and consult with senior executive management
+ Proven ability to support cross-functional projects to completion including organizing, planning, scheduling, and following up on project related items
+ Ability to obtain and maintain a security clearance up to Top Secret
**Preferred Qualifications:**
+ Proficiency with HRIS platforms and technology (Workday, Confluence, SharePoint)
+ Experience working in a matrix environment
+ Previous experience in Aerospace & Defense and/or government contracting
+ Experience leading a team as a Human Resources Manager responsible for multiple HR disciplines
+ Ability to navigate cultural and business nuances/sensitivities to promote top performance and employee engagement and inclusion
**Salary Range:** $149,400 - $224,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Beale AFB) Staff Classified Cybersecurity Analyst - Top Secret2024-03-17T06:15:31-04:00https://northropgrumman.jobs/6400E04E515A4C1E9A192ED64B72995B26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Cybersecurity Leaders, We Want You!**
Cyber assets everywhere are under siege from a wide spectrum of threats. Almost daily, these threats grow in sophistication, breadth and speed.
Support our entire enterprise and build the networks, staff the teams, and develop the processes that will keep us defining what's possible. We have opportunities across our enterprise with 90,000 team members throughout the world. The only limit to your growth is your ambition and drive. We want to empower you to bring your best, with resources, support, and talented team members that will launch your career.
At Northrop Grumman, we take a holistic approach to cybersecurity, looking at the whole cyber landscape of people, processes and technology and the whole security realm of offense, defense, and exploitation. Thought leadership demands nothing less.
If you are an expert with classified computers within the Department of Defense (DoD) and Intelligence Community computing environments, Northrop Grumman Corporation has fantastic opportunities for your career growth.
We are seeking experienced **Information Systems Security Professionals** across the country to support information systems lifecycle activities. The selected candidate will be required to work on-site, full-time at our Beale Air Force Base location. The individual will perform the following duties on a day-to-day basis in support of the program:
+ Lead and train a team of Information Systems Security Managers (ISSMs) and Information Systems Security Officers (ISSOs).
+ Interface with internal and external customers at all levels, and will be required to interpret and provide guidance on company and customer cybersecurity related governance documents.
+ Perform assessments of systems and networks within the networking environment or enclave and identify where those systems and networks deviate from acceptable configurations, enclave policy, or local policy. This is achieved through passive evaluations such as compliance audits and active evaluations such as vulnerability assessments.
+ Establishes strict program control processes to ensure mitigation of risks and supports obtaining certification and accreditation of systems. Includes support of process, analysis, coordination, security certification test, security documentation, as well as investigations, software research, hardware introduction and release, emerging technology research inspections and periodic audits.
+ Implement the required government RMF policy (i.e. ICD 503, JSIG), make recommendations on process tailoring, participate in and document process activities.
+ Perform analyses to validate established security controls and to recommend additional security requirements and safeguards.
+ Support the formal Security Test and Evaluation (ST&E) required by each government accrediting authority through pre-test preparations, participation in the tests, analysis of the results and preparation of required reports.
+ Coordinate all associated Assessment and Authorization activities, which includes all RMF Body Of Evidence (BOE) documentation: System Security Plan (SSP), Security Controls Traceability Matrix (SCTM), Control Family Security Operating Plans (SOPs), Continuous Monitoring (ConMon) Plan, Plan of Actions and Milestones (POA&M), etc.
+ Ensure recurring cybersecurity SOW is completed to include auditing, configuration management, user training, POA&M updates, ConMon checklists, Self-Inspections, etc.
This is an Information Systems Security Manager (ISSM) level opportunity. If you are ready to solve complex problems in a dynamic environment, apply today!
Note: Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
**Basic Qualifications:**
+ Bachelor's degree and 14 years of experience OR a Master's degree and 12 years of experience is required
+ Minimum 10 years of experience performing information systems security duties
+ Must have a DoD 8570 IAM Level III Certification (examples: CCISO, CISSP, CISM, or GSLC)
+ DOD Top Secret security clearance with a closed investigation date completed within the last 6 years OR must be enrolled in the DOD Continuous Evaluation Program (CEP)
+ Must have the ability to obtain, and maintain, access to Special Programs as condition of continued employment
**Preferred Qualifications:**
+ The ideal candidate will have a Master's degree in Cybersecurity, a CISSP, and 12 years of ISSO/ISSM experience in a classified environment
+ Extensive experience with JSIG and DCID 503
+ Prior experience leading a team of ISSOs/ISSMs
+ Working knowledge of ACAS or NESSUS, SCAP, DISA STIGs, Splunk
+ Current Top Secret with SAP/SAR access level is highly desirable
ESCyberInfoSec
ESCSO
**Salary Range:** $157,500 - $236,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Melbourne) Manager Product Support 2- Technical Data Sub IPT2024-03-17T06:15:31-04:00https://northropgrumman.jobs/6620D93F8C7A45D8B73FC29E4377A80626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Manager** **Product Support 2, for Technical Data Sub IPT** to join our team of qualified, diverse individuals. This position is located onsite in **Melbourne, Florida** reporting to the Tech Publications Functional Site Lead, and will be a key member of the site program Product Support leadership team.
This leader will be responsible but not limited to:
+ Managing and coordinating all S1000D Technical Data program efforts and serve as primary POC for Tech Data team with NG Leadership and Government counterparts.
+ Understanding all the contractual requirements and taking accountability for the development, delivery, and sustainment of electronic technical publications to support operation and maintenance during all phases of development, test, and operations.
+ Identifying design and issue impacts across products and communicating to all logistics stakeholders.
+ Coordinates with suppliers to understand and resolve issues associated with technical publications analyses and products.
+ Reviews status of technical publications projects and budgets including EVMS indices; prepares and manages schedules and prepares status reports on the health of the projects.
+ Identifies risks and opportunities and coordinates with project teams to mitigate and retire risks.
+ Assesses issues and develops resolutions to meet productivity, quality, and client-satisfaction goals and objectives.
+ Prepares briefings for management and develops mechanisms for monitoring project progress and tools for intervention and problem solving with project managers, line managers, suppliers and clients.
+ Responsible for the management of the Technical Data Team.
+ Provides direction to subordinates and publications subcontractor team.
+ Drive improved employee engagement and appreciation through verbal, written, and tangible recognition, events, and personal interactions.
+ Develop the team, train in methods and procedures, conduct performance appraisals, counsel employees, and make other personnel decisions as required.
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement, and respond vigorously to change.
**Basic Qualifications:**
+ Must have a Bachelor's Degree AND 9 years of related professional/military experience that includes a background in Logistics, OR a Master's degree AND 7 years of related professional/military experience that includes a background in Logistics.
+ Must have active DoD Secret Clearance
+ Must have the ability to obtain and maintain special access program clearance (SAP)
+ Experience leading a technical publications team, either as a lead or manager.
**Preferred Qualifications:**
+ Current Special Program Access (PAR)
+ Military aviation maintenance and/or pilot experience is preferred.
+ Previous experience managing the development, validation/verification, and sustainment of a S1000D Interactive Electronic Technical Manual (IETM) publications program.
+ Experience with military equipment manufacturing process & overall logistics processes from design to sustainment
+ Exceptional internal & external customer service skills
+ Forward thinking in Technical Publications processes, planning & tracking
**Salary Range:** $108,400 - $162,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Sentinel - Aerospace Vehicle Systems Engineering & Integration Director 12024-03-17T06:15:30-04:00https://northropgrumman.jobs/44CFDB55CB7C40999D0EB1B2AC2ED8D526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman's Space Systems sector is looking for a **Director of Aerospace Vehicle Equipment (AVE) Systems Engineering & Integration** for the Sentinel program. This position will be located in **Roy, Utah or Redondo Beach, CA** . Northrop Grumman Strategic Systems Division supports the Air Force's sustainment, modification, development, production, and deployment of strategic systems. Division program portfolio includes the Intercontinental Ballistic Missile (ICBM) Ground Sustainment Support Contract (GSSC), Advanced Programs, Nuclear Command, Control, and Communications (NC3) Programs, and the Sentinel Program.
**What You Will Get To Do:**
Be the lead for the Sentinel AVE Systems Engineering & Integration (SE&I) Team, reporting to the SDS Division Sr Director of Systems Engineering and supporting the Sentinel AVE Segment's executive leadership team. Responsibilities include leadership over the following areas:
+ The AVE SE&I Director's priorities will be focused on Sentinel AVE program execution and Systems Engineering products developed and delivered with high standards of quality and according to the contract.
+ Leadership of a matrixed technical organization
+ Responsible for cost account management (CAM) and schedule of technical work execution
+ Responsible for technical planning of work and task integration
+ Responsible for work prioritization, communication and management to enable effective and efficient work execution of your team and the interaction across segment and IPT boundaries
+ Leadership of technical expectations, behaviors, and quality of systems engineer's performance
+ Exemplify leadership in developing effective relationships across the program and divisional functional homeroom to ensure your team has the skills required to perform
+ AVE Requirements, Interfaces, and Architecture, and Model Compliance
+ Requirements model development, integration, and management
+ Architecture model development, integration, and management
+ AVE level verification model development and management
+ Interface requirements, design definition development and verification of internal and external AVE interfaces as shared responsibility with SEIT
+ Model Governance Council participation for effective segment integration and product quality
+ AVE Modeling, Simulation, and Analysis
+ AVE level characterization for system performance optimization and predictions: fluid dynamics, structures, thermal, dynamics, flight analysis, and targeting
+ Model verification and validation
+ Element level MS&A compilation and integration for subsystem representation and realization
+ AVE Mechanical Design Integration
+ Develop and release AVE models and drawings for operational and test configurations
+ Develop Interface Control Models (ICMs) in support of interface definition
+ AVE Mass Properties
+ Develop, integrate, and manage operational and test configuration mass properties
+ AVE Electrical Design Integration
+ Develop, integrate, and manage system wiring diagrams / layouts / roadmaps
+ Perform and assess signal quality analysis
In addition to the direct responsibilities outlined above, the Director of Aerospace Vehicle Equipment (AVE) Systems Engineering & Integration for the Sentinel program drives their teams by establishing effective partnerships across the program for leveraging specialty engineering expertise for AVE in terms of requirements development/decomposition, analysis, and design implementation. This coverage includes:
+ Reliability, Availability, and Maintainability
+ System Safety
+ Humans Factors Engineering
+ Electromagnetic Environmental Effects
+ Parts Materials & Processes
+ Nuclear Hardness & Survivability
+ Cyber Security
+ Nuclear Surety
+ System Security Engineering
+ Life Cycle Cost
Ensure effective partnership with the Integration & Testing (I&T) organizations across the program in support of:
+ Integrated Test Plan development and execution
+ Development and operational testing preparations and artifact development
+ Applicable post-test analysis and reporting
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
- Medical, Dental & Vision coverage
- 401k
- Educational Assistance
- Life Insurance
- Employee Assistance Programs & Work/Life Solutions
- Paid Time Off
- Health & Wellness Resources
- Employee Discounts
https://benefits.northropgrumman.com/us/en2/BenefitsOverview/Pages/default.aspx
These positions will have a standard work schedule of 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Basic** **Qualifications:**
+ Bachelor's Degree in (Science, Technology, Engineering, Mathematics) STEM with 10 years of progressive related engineering experience, or Master's in STEM and 8 years' of related experience.
+ Minimum of 3 years in formal management
+ 3 years experience with Model-Based Systems Engineering (MBSE)
+ Active DoD Secret clearance, investigation must have been completed within last 5 years
**Preferred Qualifications:**
+ ICBM experience
+ Prior experience interfacing with prime contractor site leads and USAF leadership personnel
+ Active DoD TS/SCI clearance
+ Strong oral and written communication skills, including demonstrated experience with high value customer presentations, with ability to focus on multiple projects with competing priorities
+ Experience leading the performance of tasks on schedule, at cost, and achieving all requirements as either project lead, integrated program team or cost account manager
+ Demonstrated experience in making sound decisions in uncertain and difficult situations
+ Strong sense of urgency and work ethic in ensuring engineering meets customer and program expectations in a timely and efficient manner
+ Demonstrated effectiveness in achieving results through technical quality and understanding and management of internal processes and customer/supplier relationships.
+ Demonstrated effectiveness in coordinating joint integration efforts across contractors, Government program office, and Government partner agencies.
+ Strong understanding and broad application of engineering systems (engineering standards, configuration management, material review board, process control, tooling, facilities, software, etc.)
\#GBSDLeadership
**Salary Range:** $181,000 - $314,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(GBR-New Malden) Principal Engineer Mechanical2024-03-17T06:15:30-04:00https://northropgrumman.jobs/8324BF331A59490AA9CCBD4E454A5B1926**Your Opportunity to Define Possible** **.** **Our Opportunity t** **o Deliver the Nation's Security** **. Together.**
**Salary: £46,000 - £63,000**
**Role Clearance Type:**
**You must be able to gain and maintain the relevant UK Government clearance in line with the job role (SC)**
**Location:** Burlington House, New Malden, Kingston-Upon-Thames, London, UK.
**About Your Opportunity:**
**Principal Mechanical Engineer** **Role:**
To support all Mechanical designs and conduct Finite Element Analysis (FEA) and Thermal Analysis (CFD). This role also provides our customers with a direct point of contact whilst liaising with manufacturers and test houses.
To sign off all mechanical designs, ensure the mechanical team are conforming to applicable processes during and design, development and build, and ensure compliance to all applicable requirements.
To produce, review and approve technical documentation to support on-time programme delivery whilst organising all Computer Aided Design (CAD) aspects to perform efficiently and effectively using a variety of CAD applications.
**Your Benefits:**
+ **Flexible working schedules -** we offer flexible and hybrid working arrangements. Talk to us at the application stage about any scheduling preferences you may have.
+ **Flexible Benefits Package** - choose which NGUKL benefits you want to satisfy your personal needs. Core Benefits provided for you are Healthcare, Dental, Life Assurance and Pension. Benefits you can flex include Critical Illness Cover, Health Cash Plan, and Health Assessments.
+ **Employee Incentive Programme -** exceptional performance is recognized through our annual incentive programme which is awarded to top performers who excel
+ **Career Development -** opportunity for ongoing professional development and career growth opportunities
**Your Responsibilities:**
+ Mechanical design engineering Subject Matter Expert (SME) across the business.
+ To analyse and justify mechanical based designs and component selection
+ To resolve mechanical issues during hardware design, development, manufacture, integration and qualification.
+ To ensure baseline and change control activities are carried out within the mechanical team, adhering to Configuration Management (CM) processes.
+ To provide mechanical/electro-mechanical design support on a single or multiple programmes.
+ To provide leadership to the mechanical team to ensure process and requirements are conformed to.
+ To produce, review and approve mechanical technical documentation (drawings, documents and Bill of Materials (BOM).
**Your Experience:**
+ Strong experience in a Mechanical Design Engineering position.
+ Engineering degree in related discipline (or extensive mechanical design experience without a degree).
+ Understanding and working knowledge of mechanical related Defence Standards.
+ Ability to work independently on own initiative, as well as within a collaborative team environment.
+ Ability to work under pressure in a complex and rapidly changing environment.
**Your Future Team:**
"This role is a great fit for a Principal Mechanical Engineer looking for further career development opportunities and to work within a design team and support engineers. You'll be working with top talent to contribute to the continued safe deployment of the latest in-service submarines for the Royal Navy. What an opportunity!
**Kenneth Park - Hardware Engineering Manager @ Northrop Grumman UK**
We are an extensively experienced and supportive team working in a matrix structure that promotes collaboration, opportunity for new experiences and learning. We will give you space to develop your career and build on your capability to lead inside and outside a project team.
Diversity is at the heart of our success. Our team share experience, knowledge and new thinking gained from a wide range of backgrounds perspective, culture, gender, race, age and many other elements across several industries. We welcome candidates from all backgrounds and particularly from communities currently under-represented within our industry . We treat everyone with respect and foster safe and inclusive environments.
**About Our Responsibilities:**
Our customers operate in unique environments which offer new and exciting challenges every day, cultivating a place where you can learn and thrive, working alongside the best minds in industry. We'll give you space to develop your career, where your ideas can shape the future of our dynamic business.
We promote collaboration to achieve more than we could imagine, together. And w ithin a respectful and inspirational environment, we value what you say and do.
**How to Apply:**
**Interested in our opportunity?**
**Yes** - then simply submit your application online. Your application will be reviewed by one of our expert recruiters who'll then respond advising you of the outcome and next steps for successful candidates.
**Possibly, I'd like to find out more** - email talentenquiries@uk.ngc.com to connect with one of our Sourcing Specialists who will be happy to support you with any enquires.
Background checks and potentially security clearance form part of the recruitment process, our team will inform you of the procedures when required.
**Northrop Grumman UK:**
Work with a global brand that makes a real contribution to our nation's security and future. At Northrop Grumman UK, the brightest minds come together to push the boundaries and Define Possible. As leaders in the digital transformation of Aerospace, Defence and Intelligence we are providing ground-breaking outcomes for our customers.
**UK Defence Business:**
Our UK Defence business is a Sovereign software and systems centre of excellence. As well as developing and supporting UK wide and internationally deployed multi-domain command and control systems, our work is critical to the modern backbone of the Royal Navy. We pioneer - with fierce curiosity, dedication, and innovation, we seek to solve the world's most challenging problems.
**Find out more** : https://www.northropgrumman.com/careers/job-search-united-kingdom/
\#LI-TP1
**\#LI-Hybrid**
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.(USA-CA-Sunnyvale) Manager Project Management 32024-03-17T06:15:28-04:00https://northropgrumman.jobs/07F223967AD846BF831D0F676FF54CA026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems sector is looking for a **Manager, Programs Level 3** to support the Operations Execution Management/Operations Program Management organization in **Sunnyvale, CA** .
The Operations Execution Manager/Operations Program Manager (OEM/OPM) department manages all phases of program manufacturing from inception through completion. The OEM/OPM group is responsible for the cost, schedule and technical performance of manufacturing scope on development, LRIP, and production programs. The OEM/OPM leads and coordinates manufacturing activity across the program life cycle, from capture/proposal through execution/production and contract closeout. The OEM/OPM coordinates the preparation of operating budgets and manufacturing plans. The OEM/OPM team has management accountability to the PM to Operations contract satisfaction: on cost and on schedule, while achieving all technical requirements ; Responsibility and Accountability for Operations Risk and Opportunity management. They act as the primary customer/PM contact for all manufacturing activities, leading program review sessions with customer/PM to discuss cost, schedule, and technical performance. Will have overall responsibility for ERP/MRP and integration between the program GSC, MFG, and QME organizations. The OEM/OPM establishes high level manufacturing milestones and monitors adherence to master plans and schedules, and identifies problems and obtain solutions, such as allocation of resources or changing specifications. They coordinate the work of employees assigned to the program from technical, manufacturing, financial, and administrative areas. The individual will lead a multi-program organization aligned to common manufacturing performance goals.
Primary responsibilities are the management of cost, schedule, and technical performance of manufacturing programs or subsystems and include, but are not limited to:
+ Cultivating internal customer relationships.
+ Developing long term strategic planning, and development of proposals and business plans.
+ Leading and directing cross-functional Integrated Program Teams (IPT) to meet program cost, schedule and technical performance objectives on manufacturing scope.
+ Measuring and reporting program performance.
+ Delivering presentations to executive management and other program stakeholders.
+ Participate in the creation, review and finalization of the manufacturing Statement of Work.
+ Identification, distribution, tracking, and completion of program requirements.
+ Establishment and management of the program and subordinate baselines.
+ Development and adherence to budget baselines utilizing Earned Value Management (EVM) or similar cost & schedule control methodologies and tools.
+ Identifying, allocating and managing program resources, including workforce planning.
+ Managing Government/customer supplied property or information (GFE, CFE, etc.).
+ Adherence to all internal processes, policies, and applicable industry standards.
+ Ensuring Operations team understands and adheres to contract scope and manages change through control board activities.
+ Development and adherence to master plans and schedules.
+ Conducting thorough risk & opportunity management practices including identification, mitigation and realization.
**Basic Qualifications:**
+ Bachelor's Degree with 9+ years of experience; Master's Degree with 7+ years' experience; PhD with 4+ years of experience supporting contracts and customers and/or project management (An additional 4+ years experience in manufacturing program/project management considered in lieu of degree).
+ 5+ years of demonstrated success as a team lead with at least 3+ years directly supervising employees
+ Fluent with MS Office products - PowerPoint, Project, Excel, Word.
+ Experience with ERP/MRP system in a manufacturing environment.
+ U.S. citizenship with the ability to obtain a security clearance.
**Preferred Qualifications:**
+ SAP experience
+ 5+ years of experience leading the performance of tasks on schedule, at cost and achieving all requirements as either project lead, integrated program team or cost account manager.
+ previous support with U.S. Government contracts and customers
+ Competency with managing production in SAP in a low volume, high mix manufacturing environment.
+ Master's degree in Engineering or Management.
+ PMI certification and/or PMI-based training.
+ Command of Earned Value Management Concepts and Techniques.
+ Government contract experience.
+ Experience with hardware production proposals.
MANUMS
SNYVLCA
**Salary Range:** $149,400 - $224,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-AZ-Gilbert) Planning & Scheduling Analyst 2/3- Gilbert AZ2024-03-17T06:15:26-04:00https://northropgrumman.jobs/FB234952A29749E0AEB9538C5E5B0A3526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking Program Planning & Scheduling Analyst 2/3's to join our team of qualified, diverse individuals. These opportunities will be located in Gilbert AZ. Will consider hybrid telework options within commutable distance of our Gilbert, AZ office location.
**Roles and Responsibilities include, but are not limited to, the following:**
+ Preparing, developing and coordinating the Integrated Master Schedule (IMS) to meet all program objectives .
+ Ensuring program schedules are horizontally and vertically integrated.
+ Implementing scheduling best practices as prescribed by industry to include, but not limited to:
+ DCMA 14-point
+ IPMR DI-MGMT-81861
+ Earned Value Management System (EVMS)
+ Critical Path Analysis
+ Schedule Risk Assessment (SRA)
+ Schedule Performance, Health Metrics and Analysis
+ Provides weekly and monthly status and reporting
+ Tracking plans and schedules.
+ Creating, maintaining and validating Schedule data.
+ Performing risk analysis and management.
+ Identifying and resolving critical path and network logic conflicts.
+ Utilizing Gantt, milestone charts, Earned Value Management (EVM) and other project management techniques to gauge progress and identify performance variances.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Basic Qualifications:**
**This requisition may be filled at either a level 2 or a level 3.**
**Basic Qualifications for a Level 2:**
+ Bachelor's degree with 3+ years of related experience in program/project planning and scheduling, logistics or supply chain - OR - a Master's degree with 1+ years of related experience. An additional 4 years of experience accepted in lieu of a degree.
**Basic Qualifications for a Level 3:**
+ Bachelor's degree with 6+ years of related experience in program/project planning and scheduling, logistics or supply chain - OR - a Master's degree with 4+ years of related experience. An additional 4 years of experience accepted in lieu of a degree.
**Additional Basic qualifications for both levels:**
+ Strong skills and proficient knowledge with Microsoft Office Suite, including MS Excel and PowerPoint.
+ Experience with scheduling software (i.e., MS Project 2016, Open Plan, PS3, Primavera P6, Milestone Professional, Deltek, SSI Tools).
+ Ability to obtain a Top Secret/SCI security clearance. US Citizenship is a prerequisite.
**Preferred Qualifications:**
+ Current DoD Top Secret/SCI security clearance. US Citizenship is a prerequisite.
+ Proficient in MS Project 2016
+ Experience with resource loading Schedules.
+ Earned Value Management Systems (EVMS) experience and Schedule Management.
+ Experience with Schedule Risk Assessment (SRA).
**Salary Range:** $68,500 - $102,700
**Salary Range 2:** $84,200 - $126,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Sunnyvale) U103 Janitor B2024-03-17T06:15:25-04:00https://northropgrumman.jobs/66B48E59DC8943078005B708CD65A49A26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Facilities and Real Estate Department is seeking a Janitor B to join our team. You'll work with a group of skilled employees providing a safe and clean environment to a team of talented manufacturing and office personnel.
**What You'll get to Do:**
Maintains premises, rest and working areas of offices and industrial buildings in clean and orderly condition. Performs a combination of dusting furniture, walls, fixtures, or equipment; sweeping, scrubbing, mopping, waxing, or buffing floors; removing refuse; polishing furniture, fixtures, or trimmings; providing janitorial supplies; and cleaning lavatories, showers, or rest rooms.
**Basic Qualifications:**
High School diploma and customer service experience, including cleaning.
**Preferred Qualifications:**
Six months of janitorial/custodial/housecleaning experience
This is a Union represented position
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Northridge) Project Manager 1/22024-03-17T06:15:25-04:00https://northropgrumman.jobs/84726486117E4FB992E8BB02EAA0B36026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Defense Systems** has an opening for a **Project Manager 1 or 2** to join our Advanced Weapons team of qualified, diverse individuals within the **AARGM and AARGM-ER Production** organization. This position is located **onsite** in **Northridge, California** .
This position is seeking a highly motivated, strong communicator to report into the Program Management Office. This position will be in fast-paced, un-classified and classified environment. We offer flexible work arrangements through our 4/10* work schedule with every Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do. This position will be responsible for ensuring alignment and integration across all elements of the organization to include Engineering, Contracts, Finance, Quality, Operations, Supply Chain, Planning, Property Management, Support Equipment and Program Management. The Project Management professional will support cross-functional teams focused on growing the business while meeting budget, schedule and tracking contractual requirements.
**Key responsibilities include:**
+ Risk & Opportunity Management - Function as the program's Risk & Opportunity Board Administrator/Lead helping organize agendas, schedule meetings and working with board members on populating handling plans. Must have understanding of standard risk management process and terminology. Will also act as a liaison administrator for the Government Risk Board
+ Program Communications - Ensure all program internal or customer communications are prepped and ready for team inputs.
+ Team Access Requests - ensuring all internal and external stakeholders have the appropriate access to program sites and tools. This tasking will require interfacing with Northrop Grumman employees, suppliers and government partners.
+ Program Office Work authorizations, auditing and resource management
+ Program Management Plan (PMP) maintenance
+ Tracking/reporting status of all program contractual requirements including Statement of Work commitments, hardware deliverable CLINs, CDRLs
+ Transition of Program(s) onto digital Portfolio & Project Management (PPM) tools
**Basic Qualifications:**
+ **Level 1 -** Bachelor's Degree with 2 years of relevant experience, or Master's Degree with 1 year of related experience. 1 year of experience leading people and/or projects
+ **Level 2 -** Bachelor's Degree with 4 years of relevant experience, or Master's Degree with 2 years of related experience. 2-4 years of experience leading people and/or projects
+ Must be able to acquire a DoD Secret Clearance
+ Excellent Proficiency with Microsoft Suite - Outlook, Teams, SharePoint, Visio, Excel
+ Risk & Opportunity Management
+ Background managing cost, schedule and risk while working with cross functional teams
+ Excellent communication and presentation skills. Must be very detail oriented and organized.
**Preferred Qualifications:**
+ Active U.S. Government DoD Secret Clearance
+ Program Management Professional (PMP) certification
+ Program execution experience
+ Experience with Control Account Management (CAM) and Earned Value Management
+ DFARS/FARS background and knowledge
+ Aerospace/Defense Industry Experience
Living in Northridge offers a perfect combination of urban living with the beauty of nature. It is a short drive away from downtown Los Angeles, the Hollywood Hills, or the rest of the San Fernando Valley. Take advantage of your flexible work schedule to enjoy shopping at the local Northridge Fashion Center or spend time at the local Recreation Center as well as easy access to nearby protected natural areas such as the Chatsworth Reservoir, the Santa Susana Pass State Historic Park, Lake Balboa or within driving distance, spend a day at the beach. With Cal State Northridge right in the heart of town, the area has an active college-town energy combined with family-friendly suburban charm. The stunning southern California climate makes for year-round easy living. With an average of 284 sunny days during the year, it is safe to say you'll have plenty of time to enjoy your outdoor activities to the fullest.
For more information, please click on this link below:
Northrop Grumman in Northridge-California - Northrop Grumman
**Salary Range:** $59,600 - $89,400
**Salary Range 2:** $72,100 - $108,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Ogden) Receiving Inspector 3 - 11550*2024-03-17T06:15:25-04:00https://northropgrumman.jobs/F494C50A41ED4065BC79CB6C1D5E152A26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **Mission Assurance Receiving Inspector 3** . This position will be located at the KDC facility in Ogden, Utah and will support the Sentinelprogram.
**This role may offer a competitive relocation assistance package.**
**What You'll Get** **to** **Do:**
The Quality Inspector defines and monitors mission assurance program specifications and processes to ensure mission success of programs. Performs or assures quality, risk management, safety, reliability and maintainability of program achievements, subcontractors, and suppliers in accordance with contractual requirements. Assesses program performance and risks and determines resources to ensure mission assurance.
The preferred candidate will use predetermined methods, operations, setups and prescribed specifications to inspect visually in-process and completed products such as electronic units and subsystems, precision electromechanical assemblies or mechanical units, subassemblies, structural flaws, internal defects, and missing welds. Uses various measuring devices. Accepts, rejects, or reworks defective or malfunctioning units or systems. Works from blueprints, diagrams, dial indicators, preset micrometers, scales, fixtures, customer specifications, drawing or inspection instructions and checklists. May monitor and verify quality in accordance with statistical process or other control procedures. Performs line clearances after each lot to ensure all materials from the previous lot have been removed. Analyzes defective parts to determine cause of defect and recommends corrective action. May determine method and sequences necessary to perform inspections.
**Job Responsibilities may include:**
+ Experience with SAP, perform receiving inspection, acceptance and rejection of material and assemblies.
+ Experience or certification to IPC or Military Standards for Inspection.
+ Perform local source inspection at suppliers' facilities.
+ Performs required inspections and nondestructive tests (UT, MT, PT, visual, dimensional, load tests, etc.) on mechanical, electrical, or structural components or systems to ensure conformance with the quality requirements.
+ This job reports to a Supervisor, Inspection and works under general supervision.
+ Work is performed within the confines of standardized company and industry policies, practices, and procedures.
+ This job has decision-making responsibilities with regard to the acceptance or rejection of work and tests performed and the determination of measuring equipment used.
+ Inspects and performs tests on mechanical, electrical, or structural components and assemblies.
+ Inspections vary from close tolerance dimensional checks to general surveillance of the work in progress.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**You'll Bring These Qualifications:**
+ Minimum of 4 years of inspection experience with a HS diploma/GED; or 2 years of inspection experience with a bachelor's degree.
+ U.S. Citizenship and ability to obtain and maintain a Secret Security Clearance
+ Working Knowledge of Geometric Dimensioning and Tolerancing (GD&T)
+ Inspection experience in manufacturing processes or mechanical assemblies
+ Demonstrated use of precision measuring equipment
+ Proficiency with Microsoft office (Word/Excel/PowerPoint)
+ Must be able to lift a minimum of 35 lbs
**These Qualifications Would be Nice to Have:**
+ Receiving, mechanical, harness and electrical assembly inspection experience
+ Experience with Laser Tracking Measurement equipment
+ Working knowledge of SAP data entry for receiving and inspection
+ Knowledge and experience in the use of SAP MRP system Quality Module including deficiency reporting
+ Aerospace and Defense inspection
+ Six Sigma Training
+ Active Top Secret Clearance
**Salary Range:** $49,900 - $83,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) Maintenance Technician 22024-03-17T06:15:24-04:00https://northropgrumman.jobs/6BC8D4B489204699AA32C7A0BC0AB51926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman** is currently seeking a **Maintenance Technician 2** to support ourMaintenance Team in our **Clearfield, Utah** location.
**Role Description & Responsibilities:**
The Maintenance Technician is responsible for maintaining production and quality by ensuring operation of machinery and mechanical equipment.
**Job Duties:**
+ Perform mechanical and or electrical trouble shooting on all equipment.
+ Perform routine mechanical / electrical/electronic preventative maintenance on plant equipment, on our CNC machines, Boilers, Auto claves, HVAC, General lighting, Air compressors, Hydraulic systems, Overhead Bridge Cranes etc.
+ Other duties to support Maintenance Team as assigned.
+ Performs repairs and maintenance in plant or office facilities, or on machines and equipment
+ Typically performs work in one or more of the maintenance trades: carpentry, plumbing, painting, machine and equipment repair, electrical, sheet metal fabrication, and welding
+ Conducts routine, periodic, or special inspections to determine repair and maintenance work necessary to prevent breakdowns of facilities, machinery, and equipment
+ Uses hand and power tools. Tests, inspects, troubleshoots, and repairs machines and equipment
+ Uses blueprints, sketches, layouts, wiring diagrams, drawings, and specifications
+ Shift as follows: Monday - Friday (9 Hours each day)
+ Hours: 6:00 AM-3:30 PM
**Basic Qualifications:**
+ High School diploma or equivalent and 2 years of additional education or experience working in the manufacturing industry as an Electrical / Mechanical Maintenance Technician
+ Experience with Industrial Machinery Repair and Maintenance.
+ Basic reading, writing, and arithmetic skills required.
+ Strong computer, math, analytical, and planning skills
**Preferred Skills and Qualifications:**
+ Trade School Certificate
+ Mechanical Knowledge
+ Electrical Knowledge
+ Working knowledge of HVAC maintenance
We offer phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly collaborative workplace. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do. Does this sound like you?
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself! Every ERG is inclusive of all employees!
At Northrop Grumman, we are innovating-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule, and a great 401K matching program.
**Salary Range:** $42,000 - $70,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MO-Whiteman AFB) Principal Network Communications- Whiteman AFB MO2024-03-17T06:15:23-04:00https://northropgrumman.jobs/3B3C2E14854540468581F9A954A9418E26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
We have an immediate opportunity for a **Principal Network Communications** based at Whiteman AFB, MO. This B-2 IT Intel support position supports the Stealth Bomber Operations by configuring and maintaining computer systems and networks used by the B-2 Stealth Bomber for command, control, communications, computing, intelligence, and all other aspects of low-observable strike mission.
**Primary Responsibilities:**
Provide technical support in the areas of vulnerability assessment, risk assessment, network security, product evaluation, and security implementation in an environment with 200+ users, 30+ servers, 50+ workstations for three support agencies at Whiteman AFB. Implements solutions for protecting the confidentiality, integrity, and availability of sensitive information. Executes information system contingency plans that maintain appropriate levels of protection that meet time requirements for minimizing operations impact to customer organization. Analyzes and tests security updates with mission-critical applications. Conducts testing and analyzes and tests security updates with mission-critical applications. Conducts testing and analyzes system logs to evaluate the impact of current security measures.
**Basic Qualifications:**
+ Associate and 4 years directly related experience; Bachelors of Science Degree and 2 years directly related work experience; or a Master's Degree; or an additional 6 years of practical experience may be considered in lieu of a degree
+ Minimum 1-year network administration experience with USAF style NIPR/SIPR/JWICS or equivalent computer networks
+ Willing to travel at short notice on international and domestic assignments
+ Current DoD 8570 IAT Level II certification (Security +)
+ Current Top Secret clearance required with ability to attain and maintain a program clearance and SCI
**Preferred Qualifications:**
+ Net+ or ability to get within 6 months
+ CCNA
+ Virtualization technology and Infrastructure (ESXi, VMware, Horizon)
+ Enterprise Management/System Administration(Active Directory, Microsoft SCCM, Server 2016+)
+ Vulnerability Management and Identification (McAfee HBSS, ACAS)
+ General knowledge and experience in scripting tools (PowerShell, Python)
+ Implementation of new and emerging software products required for platforms (JMPS, Adobe)
+ Analyzing and resolving problems related to Network services provided (Solar winds)
+ Administrating, sustaining and maintaining core network data center infrastructure (Gem)
+ SAN Management (EqualLogic)
**Salary Range:** $79,800 - $119,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-AZ-Gilbert) Planning & Scheduling Analyst 3/4- Gilbert AZ (Top Secret Clearance Required)2024-03-17T06:15:23-04:00https://northropgrumman.jobs/52421AFAAF334536ADA3ECE307346A3726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking Program Planning & Scheduling Analyst 3/4's to join our team of qualified, diverse individuals. These opportunities will be located in Gilbert AZ. Will consider hybrid telework options within commutable distance of our Gilbert AZ facility.
**Roles and Responsibilities include, but are not limited to, the following:**
+ Preparing, developing and coordinating the Integrated Master Schedule (IMS) to meet all program objectives .
+ Ensuring program schedules are horizontally and vertically integrated.
+ Implementing scheduling best practices as prescribed by industry to include, but not limited to:
+ DCMA 14-point
+ IPMR DI-MGMT-81861
+ Earned Value Management System (EVMS)
+ Critical Path Analysis
+ Schedule Risk Assessment (SRA)
+ Schedule Performance, Health Metrics and Analysis
+ Provides weekly and monthly status and reporting
+ Tracking plans and schedules.
+ Creating, maintaining and validating Schedule data.
+ Performing risk analysis and management.
+ Identifying and resolving critical path and network logic conflicts.
+ Utilizing Gantt, milestone charts, Earned Value Management (EVM) and other project management techniques to gauge progress and identify performance variances.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Basic Qualifications:**
**This requisition may be filled at either a level 3 or a level 4.**
**Basic Qualifications for a Level 3:**
+ Bachelor's degree with 6+ years of related experience in program/project planning and scheduling, logistics or supply chain - OR - a Master's degree with 4+ years of related experience. An additional 4 years of experience accepted in lieu of a degree.
**Basic Qualifications for a Level 4:**
+ Bachelor's degree with 10+ years of related experience in program/project planning and scheduling, logistics or supply chain - OR - a Master's degree with 8+ years of related experience. An additional 4 years of experience accepted in lieu of a degree.
**Additional Basic qualifications for both levels:**
+ Strong PC skills and proficient knowledge with Microsoft Office Suite, including MS Excel and PowerPoint .
+ Experience with scheduling software (i.e., MS Project 2016, Open Plan, PS3, Primavera P6, Milestone Professional, Deltek and SSI Tools).
+ Active DoD Top Secret security clearance. US Citizenship is a prerequisite.
**Preferred Qualifications:**
+ Proficient in MS Project 2016
+ Experience with resource loading Schedules.
+ Earned Value Management Systems (EVMS) experience and Schedule Management.
+ Experience with Schedule Risk Assessment (SRA).
**Salary Range:** $84,200 - $126,400
**Salary Range 2:** $104,400 - $156,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Melbourne) Level 2/Level 3 Principal Proposal Analyst2024-03-17T06:15:23-04:00https://northropgrumman.jobs/5D15BAF5FAB9433BAE2E0693A587031A26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman has an opening for either a **level 2 or level 3 Proposal Analyst** to join our team of qualified, diverse individuals! This position will be located **on site** in **Melbourne, Florida** with **partial telework available** .
Analyst will be responsible for pricing activities for material estimating under multiple contract types, to include, but not limited to, Firm Fixed Price (FFP), Cost Type, and Indefinite Delivery and Indefinite Quantity (IDIQ). Analyst will support the entire proposal process from request for proposal (RFP) and authorization to proceed (ATP), coordinating Global Supply Chain (GSC) material and labor inputs and approvals through the program approval process, proposal submittal, supporting customer requests for information (RFIs) providing government audit support, fact-finding, negotiation, and the sweep process. This position will collaborate across multiple functions such as Contracts, Pricing & Estimating, Engineering, Global Supply Chain, and Program Management to prepare and submit first-time quality, compliant, and on-time proposals to support the customer commitments as well as NG's financial goals.
**Specific duties include:**
+ Understand Statement of Work (SOW) for both NG and Suppliers and prepare material estimates.
+ Prices a consolidated bill of material (CBOM) provided from engineering/program utilizing supplier proposals/quotes, PO history, engineering estimates, etc. and providing compliant, quality basis of estimates and documentation to support.
+ Requests supplier proposals/quotes and/or lead times from Global Supply Chain utilizing price/delivery information requests (PDIRs) through Supply Chain Integrated Proposal System (SCIPS) Prepares labor estimates for all Global Supply Chain functions.
+ Prepares Global Supply Chain Cost Volume in support of customer proposals ensuring compliance and quality.
+ Prepares and supports presentation and approval of material estimates and Global Supply Chain labor estimates at the required approval value level. Prepare and track material variance allowance (MVA).
+ Prepare price analysis for all quotes/proposal on CBOM under $2M.
This requisition may be filled as either a Proposal Analyst or a Principal Proposal Analyst.
**Basic Qualifications for a Proposal Analyst:**
+ Bachelor's Degree with 3 years experience OR a Master's Degree with 1 year of related experience
+ Proficient in Microsoft Office, specifically Excel
+ Able to obtain/maintain a Security Clearance
Basic Qualifications for a Principal Proposal Analyst:
+ Bachelor's Degree with 6 years of experience OR a Master's Degree with 4 year of related experience
+ Proficient in Microsoft Office, specifically Excel
+ Able to obtain/maintain a Security Clearance
Preferred qualifications:
+ Self-starter
+ Prior experience in Material Estimating in a Proposal Environment Knowledge of SAP Intimate background with Global Supply Chain Subcontract/Procurement requirements
+ FAR proposal adequacy and execution Familiarity with the concept and application of Cost Improvement Curves
+ Working knowledge of government contract environment (FAR and DFARS)
+ Active DoD Secret or Top Secret Clearance with full investigation closed in the last six years and satisfy the requirement to obtain Special Program Access
We offer phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly collaborative workplace. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do. Does this sound like you?
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself! Every ERG is inclusive of all employees!
At Northrop Grumman, we are innovating-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule, and a great 401K matching program.
**Salary Range:** $62,200 - $93,400
**Salary Range 2:** $76,600 - $115,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Dulles) Planning & Scheduling Analyst 3/4- Dulles VA (Top Secret Clearance Required)2024-03-17T06:15:23-04:00https://northropgrumman.jobs/C41BC23A2F0346EC845FB9BC2CF4ACB726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking Program Planning & Scheduling Analyst 3/4's to join our team of qualified, diverse individuals. This position will be located in Dulles, VA or Chantilly, VA.
**Roles and Responsibilities include, but are not limited to, the following:**
+ Preparing, developing and coordinating the Integrated Master Schedule (IMS) to meet all program objectives .
+ Ensuring program schedules are horizontally and vertically integrated.
+ Implementing scheduling best practices as prescribed by industry to include, but not limited to:
+ DCMA 14-point
+ IPMR DI-MGMT-81861
+ Earned Value Management System (EVMS)
+ Critical Path Analysis
+ Schedule Risk Assessment (SRA)
+ Schedule Performance, Health Metrics and Analysis
+ Provides weekly and monthly status and reporting
+ Tracking plans and schedules.
+ Creating, maintaining and validating Schedule data.
+ Performing risk analysis and management.
+ Identifying and resolving critical path and network logic conflicts.
+ Utilizing Gantt, milestone charts, Earned Value Management (EVM) and other project management techniques to gauge progress and identify performance variances.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Basic Qualifications:**
**This requisition may be filled at either a level 3 or a level 4.**
**Basic Qualifications for a Level 3:**
+ Bachelor's degree with 6+ years of related experience in program/project planning and scheduling, logistics or supply chain - OR - a Master's degree with 4+ years of related experience. An additional 4 years of experience accepted in lieu of a degree.
**Basic Qualifications for a Level 4:**
+ Bachelor's degree with 10+ years of related experience in program/project planning and scheduling, logistics or supply chain - OR - a Master's degree with 8+ years of related experience. An additional 4 years of experience accepted in lieu of a degree.
**Additional Basic qualifications for both levels:**
+ Strong PC skills and proficient knowledge with Microsoft Office Suite, including MS Excel and PowerPoint .
+ Experience with scheduling software (i.e., MS Project 2016, Open Plan, PS3, Primavera P6, Milestone Professional, Deltek and SSI Tools).
+ Active DoD Top Secret security clearance. US Citizenship is a prerequisite.
**Preferred Qualifications:**
+ Proficient in MS Project 2016
+ Experience with resource loading Schedules.
+ Earned Value Management Systems (EVMS) experience and Schedule Management.
+ Experience with Schedule Risk Assessment (SRA).
**Salary Range:** $97,500 - $146,300
**Salary Range 2:** $120,900 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) Dimension Control Technician 3/42024-03-17T06:15:22-04:00https://northropgrumman.jobs/BE87DF6A24714FE68E3E91527D1ACF8826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems Business Unit (ASBU) has openings for a **Dimension Control Technician 3/4** to join our team of qualified, diverse individuals. This position will be located in **Clearfield, UT.**
TheDimension Control Technician will be a part of the Tool Die Making team within the Metrology department and will have considerable knowledge of the job with substantial acquaintance with an understanding of general aspects of the job with a broad understanding of the detailed aspects of the job and be able to perform more advanced functions and resolve routine questions and problems.
The ideal candidate for this role will have excellent verbal and written communication skills with the ability to communicate, and collaborate across all levels, will thrive in a fast-paced team-oriented work environment with high expectations, enjoy significantly diverse assignments, demonstrate a high attention to detail to ensure accuracy of the product and have high integrity to self-report errors to a supervisor when detected. If you are comfortable in this high-energy environment and enjoy having a variety of tasks to keep you engaged, this is the opportunity for you!
****This position requires a willingness to work any shift including nights/weekends and requires onsite presence due to the nature of the role.****
**Essential Functions / Responsibilities:**
+ Lay out, fabricate, and assemble a variety of standard and nonstandard major jigs, fixtures, tool masters, master tooling gauges, and related tooling involving compound angles and complex contours where the establishment and coordination to exacting tolerances of numerous tooling holes and reference points between several planes are required.
+ Plan work to be performed and determines methods and sequence of operations working from tool design drawings or own designs.
+ Sets up and operates optical instruments to check overall and detailed alignment, fit, or adjustment of assemblies.
+ Develop and designs holding devices and jig and fixture details.
+ Prepare operational sequences and shop drawings covering parts fabrication and assembly to be performed by others.
+ May monitor and verify quality in accordance with statistical process or other control procedures.
We offer aflexible work schedules, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
**This position may be filled as a Level 3 or Level 4 based on the qualifications outlined below.**
**Basic Qualifications Level 3:**
+ High school diploma or equivalent with 4 years of related education and/or experience with tool building and laser tracking in a manufacturing environment
+ Experience devising reference systems and creating working build models
+ Ability to frequently move and position objects weighing 35 pounds and ascend and descend ladders
+ Ability to work inside and outside in a warehouse environment and a shop floor environment
+ Ability to work within MS applications i.e., MS Word, Excel, and Adobe PDF
+ Active DoD Secret Security Clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation)
+ Ability to obtain and maintain Special Access Program (SAP) clearance within a reasonable timeframe as required by the business prior to the commencement of employment
**Basic Qualifications Level 4:**
+ High school diploma or equivalent with 6 years of related education and/or experience with tool building and laser tracking in a manufacturing environment
+ Experience devising reference systems and creating working build models
+ Ability to frequently move and position objects weighing 35 pounds and ascend and descend ladders
+ Ability to work inside and outside in a warehouse environment and a shop floor environment
+ Ability to work within MS applications i.e., MS Word, Excel, and Adobe PDF
+ Active DoD Secret Security Clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation)
+ Ability to obtain and maintain Special Access Program (SAP) clearance within a reasonable timeframe as required by the business prior to the commencement of employment
**Preferred Qualifications:**
+ Working knowledge of best practices and care with multiple computer aided metrology systems such as laser trackers, articulated arms, scanners 6DoF (Six Degree of Freedom)
+ 4 years of Tool and Die or related experience
+ Experience in reverse engineering and model building from collected data
+ Knowledge of Integrated Systems Air Frames and Aero Structures
+ Experience with computer aided graphic interfaces (Verisurf X, SA and Maya matrix, Build!IT, and Polyworks)
**What We Offer:**
At Northrop Grumman, we are on the cutting edge of innovation -- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer comprehensive benefits including competitive medical and dental options, a great 401K matching program, college education assistance, annual bonuses, 14 Employee Resource Groups inclusive of all employees, and opportunities for career advancement across North America!
**Salary Range:** $29 - $48
**Salary Range 2:** $34 - $56
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-IL-Rolling Meadows) Principal FPGA Design Engineer/Sr. Principal FPGA Design Engineer2024-03-17T06:15:20-04:00https://northropgrumman.jobs/B63C53218A7840269A1514FA381F6BCF26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is a trusted provider of mission-enabling solutions for global security. Our Engineering and Sciences (E&S) organization pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
As a Sr. Principal Software Engineer at Northrop Grumman, you will have a challenging and rewarding opportunity to be a part of our Enterprise-wide digital transformation. Through the use of Model-based Engineering, DevSecOps and Agile practices we continue to evolve how we deliver critical national defense products and capabilities for the warfighter. Our success is grounded in our ability to embrace change, move quickly and continuously drive innovation. The successful candidate will be collaborative, open, transparent, and team-oriented with a focus on team empowerment & shared responsibility, flexibility, continuous learning, and a culture of automation.
The Northrop Grumman Mission Systems, Digital Technologies Group, is seeking FPGA Design Engineers to be involved in full life-cycle product development of Radio Frequency Electronic Warfare (RFEW), Infrared Counter Measures (IRCM) and Advanced Target & Surveillance (ATS) Systems.
In this role, you will identify, investigate, design and develop programmable logic solutions, e,g, FPGA or CPLD, for digital electronic equipment, using Hardware Description Language (VHDL, Verilog) or related design capture method, to solve a variety of technical challenges. Test programmable logic designs in lab using custom and/or industry standard tools and equipment to analyze performance and verify functionality meets established design requirements.
This position can be filled at the Principal FPGA or Sr. Principal FPGA Design role:
**Basic Qualifications for Principal FPGA Design Engineer:**
+ B.S. degree in STEM field with a minimum of 5 years of relevant professional work experience OR M.S. degree in a STEM field with a minimum of 3 years of relevant professional experience, or in lieu of degree, an additional 4 years of experience is required.
+ FPGA design experience with HDL (VHDL/Verilog) and HVL (SystemVerilog), SystemVerilog Assertions (SVA), UVM for FPGAs, CPLDs and/or ASICs
+ Ability to work in teams and communicate clearly across various levels of engineers.
+ Ability to translate system performance and operational specifications into programmable logic requirements, design, and test specifications.
+ US Citizenship and must have interim secret clearance prior to starting. Once started, must have the ability to obtain and maintain a DoD Secret clearance.
**Basic Qualifications for Sr. Principal FPGA Design Engineer:**
+ B.S. degree in STEM field with a minimum of 9 years of relevant professional work experience OR M.S. degree in STEM field with a minimum of 7+ years of relevant professional work experience or in lieu of degree, an additional 4 years of experience is required.
+ FPGA design experience with HDL (VHDL/Verilog) and HVL (SystemVerilog), SystemVerilog Assertions (SVA), UVM for FPGAs, CPLDs and/or ASICs
+ Ability to work in teams and communicate clearly across various levels of engineers.
+ Ability to translate system performance and operational specifications into programmable logic requirements, design, and test specifications.
+ US Citizenship and must have interim secret clearance prior to starting. Once started, must have the ability to obtain and maintain a Secret DoD Security Clearance
**Preferred Qualifications:**
+ Significant experience in HDL (VHDL/Verilog) and HVL (SystemVerilog), SystemVerilog Assertions (SVA), UVM for PLDs, FPGAs, and/or ASICs
+ Experience with Electronic Design Automation (EDA) Tools: Mentor Graphics ModelSim/QuestaSim, Xilinx, Altera/Intel
+ Experience achieving timing closure on FPGA designs.
+ Experience in Microprocessor (PowerPC, Intel-based, SoC) designs.
+ Implementation of Digital Signal or Image Processing algorithms on FPGAs
+ Experience developing in MATLAB/Simulink
+ Experience with high level programming languages (C/C++)
+ A strong team player who also has the ability to work independently.
+ Active DOD Secret Clearance
**Salary Range:** $112,600 - $169,000
**Salary Range 2:** $139,700 - $209,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Schriever AFB) Principal Software Engineer2024-03-17T06:15:20-04:00https://northropgrumman.jobs/D6F0DBF79E1E4A879F4A70FE770C52F626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems - Launch and Missile Defense Systems has an exciting career opportunity for a Principal Software Engineer to join our team of qualified, diverse individuals. This position will be located at Schriever Space Force Base, in Colorado Springs, CO.
**Position Overview:**
As part of the Specialized Warfighter Development Contract (SWDC) the Warfighter Simulation and Services (WSS) team offers opportunities to support the U.S. Missile Defense System through the development of Modeling and Simulation applications used in warfighter training and exercises, concept evaluation, developmental engineering, testing and verification, and assessment. The selected Principal Software Engineer will support the Missile Defense Space Warning Tool (MDST) project as part of the WSS team. Candidate will design, develop, test, document, and integrate features using C++ programming language hosted on a Linux operating system.
**Essential Functions:**
+ Primary responsibilities include designing and developing software to model various Overhead Persistent Infrared (OPIR) element capabilities.
+ Additional responsibilities could include interfacing with MDST customers and presenting technical briefings to MDST stakeholders.
The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, collaborative/team settings across all levels.
**Basic Qualifications:**
+ Bachelor's Degree in a STEM (Science, Technology, Engineering or Mathematics) discipline preferred from an accredited university and 5 years of related experience, or a Master's degree in a STEM discipline and 3 years of related experience , or a PhD and 0 years of experience, or 9 years of related experience in lieu of a degree.
+ Experience developing software in object-oriented programming languages such as C, C++, or Java.
+ Understanding of a software development life cycle.
+ Ability and willingness to travel 10% to support business needs.
+ Applicants must have a current active in-scope U.S. Government DoD Secret security clearance which is required to start.
**Preferred Qualifications:**
+ Proficient in C++ Experience in software development, including analysis, design, code, test and integration.
+ Experience in Atlassian Tool Suite
+ Strong, written, verbal and interpersonal communication skills to collaborate effectively with technical teams and customer personnel.
+ Knowledge or experience in several of the following: missile defense domain knowledge, infrared space surveillance, and modeling and simulation development.
+ Experience in agile software development methodologies like Scrum or Kanban.
+ Experience with SPEEDES.
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment that encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family. Your benefits will include the following:
Health Plan
Savings Plan
Paid Time Off
Education Assistance
Training and Development
Flexible Work Arrangements
https://benefits.northropgrumman.com/us/en2/BenefitsOverview/Pages/default.aspx
NGSpace
COSpace
NGFeaturedJobs
**Salary Range:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Sentinel (GBSD) Manager Software Quality 3 - 120412024-03-17T06:15:19-04:00https://northropgrumman.jobs/BAA352CBC0F34C39B8CEF5964C1065E826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **Software Quality Manager 3** . This position may be located in **Roy, Utah, Huntsville, AL or Colorado Springs, CO** and will lead the Sentinel (GBSD) Software Quality Assurance (SQA) team. This role may offer a competitive relocation assistance package.
What You'll Get To Do:
• Manage a group of high performing managers and software quality engineers establishing direction and objectives and providing strategic alignment to support the Sentinel program software development goals and objectives
• Act as an advisor to subordinate managers and staff members to meet schedules or resolve technical or operational problems.
• Provide the leadership necessary to ensure a keen focus on 1st time quality and quality early in the software engineering life-cycle
• Team and collaborate closely with stakeholders, including Mission Assurance Director, MA team members, SW Chief Engineer, Segment & Element leaders, Systems Engineering, Software Development, and Digital Environment leaders, and others to enable program mission success.
• Ensure the development and implementation of robust SQA Plans and Schedules in accordance with the Sentinel Software Development Plan.
• Facilitate outstanding and timely execution of SQA activities; requirements traceability, product reviews, peer reviews, process excellence, test excellence, software audits, non-conformance control, metrics and reporting.
• Provide senior technical oversight for root cause analysis and preventive/corrective action implementation.
• Lead and participate in the continuous improvement of SQA processes, procedures, work instructions, tools, metrics, and training materials.
• Refine best practices and expand program cross sharing to elevate program performance and growth.
• Perform analysis and trending of SW metrics
• Develop staffing strategies to support program SQA needs while managing direct and indirect budgets.
• Conduct employee performance management and career development
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
• Medical, Dental & Vision coverage
• 401k
• Educational Assistance
• Life Insurance
• Employee Assistance Programs & Work/Life Solutions
• Paid Time Off
• Health & Wellness Resources
• Employee Discounts?
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
You'll Bring These Qualifications:
• Bachelor's degree and 8+ years of relevant professional experience in Software, Software Quality, or Mission Assurance
• 1+ years of experience leading a software development project (Agile preferred) including driving performance against schedule.
• Knowledge of Agile Software Development methodology
• 3+ years of formal leadership experience in leading a team of software quality engineers or software development team.
• Active DoD Secret clearance renewed within the last 6 years and the ability to obtain a Top Secret clearance
• U.S. Citizenship eligible for Special Access Program Clearance
These Qualifications Would be Nice to Have:
• Active Top Secret Clearance.
• Experience in CMMI and AS9100 appraisals and process area audits
• Experience in process monitoring, data collection, analysis, and metric reporting for a large program/supplier-base.
• Experience in developing and implementing Software quality assurance plans.
• Experience with Doors, Crucible/JIRA/Confluence
• Experience with Software change management
• Experience with Earned Value Management System (EVMS)
\#GBSDleadership
**Salary Range:** $141,900 - $235,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-San Diego) Sr Principal Contract Administrator2024-03-17T06:15:18-04:00https://northropgrumman.jobs/16549CF11B82460A83B320529947F2FB26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
Northrop Grumman is seeking an experienced and motivated professional for the role of a **Senior Principal Contract Administrator (level 4)** with experience in contract administration, or related fields, to include business management, supply chain management, business development, project management or procurement. The successful applicant will become part of the CNI Operating Unit Contracts team within the Mission Systems sector. The Senior Principal Contract Administrator will be responsible for direct handling of proposal and contracts activities.
**What You'll Get to Do:**
Responsibilities :
+ Administer, extend, negotiate and terminate standard and nonstandard contracts
+ Provide advice to management of contractual rights and obligations, compile and analyze data, and maintain historical information as well as be self-motivated
+ Have the ability to handle urgent situations from Northrop Grumman leadership and U.S. Government officials
+ Participate in proposal preparation (review, analysis, interpretation & contractual advice on terms & conditions), contract negotiations, contract administration, review and approval of contractual documentation to protect the company and provide for proper contract acquisition in accordance with the terms and conditions of the contract
+ Provide contractual advice in accordance with company policies and procedures, and interface with both internal and external customers to ensure proper contract execution
+ Serve as an external spokesperson for the organization on major matters pertaining to its policies, plans, and objectives
+ Ensures timely and compliant deliverables for all contracts assigned
**The candidate hired will be based out of our San Diego office and will be required to work a hybrid work schedule (full time remote is not an option).**
**Basic Qualifications:**
To qualify for the Senior Principal Contract Administrator position:
+ Bachelor's Degree with a minimum 10 years previous experience in contracts, subcontracts, finance, or other business management related function; or a Master's degree or JD with a minimum of 8 years previous experience in contracts, subcontracts, finance, or other business management related function.
+ Knowledgeable of the government contracting environment and have direct experience working with the FAR and DFARS.
+ Demonstrated experience with multiple contracting formats, including Firm Fixed Price and/or Cost Reimbursable
+ Demonstrated strong leadership skills as well as the ability to multi-task in a challenging environment.
+ Familiarity with terms and conditions and/or special contract clauses such as intellectual property and indemnification.
+ U.S. citizen.
+ Ability to obtain/maintain security clearance.
+ Be attentive to detail and able to handle complex contracts with minimum supervision.
+ Have excellent verbal, written, presentation, communication, and interpersonal skills.
+ Advanced skills using MS Office Suite (Excel, Word, PowerPoint).
**Preferred Qualifications:**
Candidates with these desired skills will be given preferential consideration:
+ Recent experience as a Contracts Administrator in the defense industry, particularly with the US Navy administering platform-level or major sub-system-level contracts.
+ Strong finance background.
+ Excellent working knowledge of FAR/DFARS; Export Regulations.
+ Prior experience using SAP, Northrop Grumman Contracts Module.
+ JD or MBA
**What We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Corinne) Northrop Grumman (DoD Skillbridge) - Machinist 22024-03-17T06:15:18-04:00https://northropgrumman.jobs/9C6AAA1F982D48BA8192ED6182CEFB7F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman (DoD Skillbridge) - Machinist 2
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identify as veterans, and more than 1,600 are reservists.
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days.
The Northrop Grumman Military internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members.
Responsibilities for this internship position are:
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SKillbridge) utilizing the DoDI guidance for Skillbridge.
During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
Goals - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
Objectives - Service Members who complete the Intern program will be highly-trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day
1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
Outcome - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
DoD SkillBridge Eligibility:
•Has served at least 180 days on active duty
•Is within 12 months of separation or retirement
•Will receive an honorable discharge
•Has taken any service TAPS/TGPS
•Has attended or participated in an ethics brief within the last 12 months
•Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.
Candidate will be required to satisfy the requirements for a TSA access certification. (Costs will be paid for by Northrop Grumman.)
Candidates who express an interest may be considered for future positions at Northrop Grumman.
Responsibilities for this internship requisition position are:
Internship Description:
The Northrop Grumman Military Veteran Program (NG-MVP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MVP is an opportunity for service members to gain valuable civilian work experience through specific industry training and through individual internships during the last 180 days of service. Any rank, enlisted or officer, may apply for SkillBridge.
SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members. Your unit Commander must authorize participation in NG-MVP prior to start of internship.
NG-MVP is a program that will bridge the gap for transitioning service members and is held 3 times a year at various Northrop Grumman installations around the country. Service members will gain valuable experience and training throughout their internship with a potential opportunity to gain employment with Northrop Grumman upon completion of active duty service obligation.
NG-MVP Eligibility:
•Has served at least 180 days on active duty
•Is within 180 days of separation or retirement
•Will receive an honorable discharge
•Has taken any service TAPS/TGPS
•Has attended or participated in an ethics brief within the last 12 months
Internship Description:
The Northrop Grumman Military Veteran Program (NG-MVP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MVP is an opportunity for service members to gain valuable civilian work experience through specific industry training and through individual internships during the last 180 days of service. Any rank, enlisted or officer, may apply for SkillBridge.
SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members. Your unit Commander must authorize participation in NG-MVP prior to start of internship.
NG-MVP is a program that will bridge the gap for transitioning service members and is held 3 times a year at various Northrop Grumman installations around the country. Service members will gain valuable experience and training throughout their internship with a potential opportunity to gain employment with Northrop Grumman upon completion of active duty service obligation.
NG-MVP Eligibility:
•Has served at least 180 days on active duty
•Is within 180 days of separation or retirement
•Will receive an honorable discharge
•Has taken any service TAPS/TGPS
•Has attended or participated in an ethics brief within the last 12 months
Internship Description
Propulsion Systems is currently seeking a **Machinist 2** to join our Machine Shop team at our **Promontory, Utah** plant.
**Role Description & Responsibilities:**
This job involves machining parts per specification by setting up and operating CNC equipment. The Machinist is responsible for: quality of the product by performing both in-process and final part inspections, care and maintenance of the equipment, assuring tooling and materials readiness, and maintaining a clean and safe work environment. This position will require the individual to provide training to others in all aspects of CNC equipment setup, operation and maintenance.
**Competencies for Success:**
• Strong interpersonal communication skills with ability to work with others at all levels of the organization
• Proven track record training mid to upper skill level machine operators
• Strong attention to detail and accuracy
• Ability to prioritize
• Excellent time management skills
• Ability to work independently Ability to work in a dynamic, fast paced, diverse environment
• Initiative, self-starter, adaptable, and high motivation for excellence
• High energy, results oriented, self-motivated / self-reliant, team player
**Job Requirements:**
• Candidate must have 2+ years experience on 3 Axis CNC machines, 4 and 5 Axis a plus
• Must have experience running manual machines and performing set-ups
• Experience with OSP and/or Fanuc Controller preferred
• Ability to read and interpret production specifications, production diagrams, assembly drawings, and technical specifications (English)
• Ability to read and interpret blue prints
• Expert in GD&T
• Experience in following written set-up instructions and /or performing unique set-ups
• Demonstrated ability to resolve unforeseen, unique issues as they arise in machining a part(s)
• Experience in complex measurements and advanced shop math calculations relative to tolerances, dimensions, tooling, and feeds and speeds
• Ability to understand and work with knowledge of Lean manufacturing principles and disciplines
• Perform other related work assignments special projects, and other duties as assigned by the Manufacturing Supervisor or Shift Lead
• Experience fabricating component parts where set-ups are non-routine and tolerances are difficult to acquire and maintain
• Expert on materials and machining processes
• Able to work overtime and weekends as required
• Able to work in a standing position for extended time periods
• Able to lift or move a minimum of 35 -50 lbs. for up to 12 hours per day; pushing or pulling 35 -50+ lbs. occasionally throughout the work day
**Education:**
• A minimum of a 2 year technical degree and/or a certificate in machine shop technology.
• 2 Years related machining experience. Able to work with limited guidance in a team environment.
• Prefer experience machining energetic materials.
**Salary Range:** $1 - $1
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Mfg Analyst / Principal Mfg Analyst - SMT Kit Planner2024-03-17T06:15:18-04:00https://northropgrumman.jobs/A1F3A7C0ABCE4B6E9A54F0D8B16BC7A626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a dedicated Manufacturing Analyst or Principal Manufacturing Analyst to join our team in **Baltimore, MD.**
The Manufacturing Analyst supports production, business, and other processes in a team-based manufacturing environment. Performs activities in a variety of cross-functional areas including production planning and control, total quality management, systems, manufacturing, scheduling, material management, and process reengineering. The incumbent may facilitate self-directed work teams, provide training in core skill areas to teams and peers, and interact with internal and external customers with respect to product planning, problem resolution, and process improvement. Incumbents are generally experienced in one or more areas of manufacturing operations.
This position is Hybrid. 50% on-site (T&W
**Responsibilities include:**
+ Performs a detailed review of material inventory and order routing information in SAP for planned orders. Collaborates with Operations team, Production Control team, Cell Management and Engineers, Material Control Specialists, Supply Chain, and other internal stakeholders daily.
+ Provides regular status updates to Program Management teams.
+ Utilizes SAP, MS Access, MS Excel, TEAMs, and SharePoint to track progress of Planned Order conversions and Production Kits in process.
+ Planning, organizing, and controlling the timely flow of product through the Manufacturing Cell to support both internal and external customer delivery requirements.
+ Serving as the primary interface between Production Management, Manufacturing Cell Management, Operations Program Management, Production Material Control Specialists, Kitting, and Inventory Management, as well as interfacing with Engineering and Quality.
+ Providing status of Planned Orders preparing for Conversion.
+ SAP metric maintenance, i.e., Aging Orders, and Overdue hours, 'Confirmed not Delivered' orders, floor stock inventory control, and the resolving of material shortages as they're late to open production orders.
+ Must have strong organizational, analytical, presentation, problem resolution, and process improvement skills; and be comfortable communicating with internal and external customers, as well as working in cross functional teams.
This requisition may be filled as a Manufacturing Analyst or Principal Manufacturing Analyst.
**Basic Qualifications** :
+ **Manufacturing Analyst (Level 2)** - Bachelor's degree and 3+ years of relevant experience
+ **Principal Manufacturing Analyst (Level 3** ) - Bachelor's degree and 6+ years of relevant experience OR a Master's degree and 4+ years
+ Proficiency in computer systems such as Microsoft Office
+ Advanced proficiency in Microsoft Excel
+ Must have strong organizational, analytical, presentation, problem resolution, and process improvement skills
+ Must be a US Citizen
**Preferred Qualifications:**
+ Experience with Operations Business Systems (SAP preferred)
+ Experience in one or more areas: Manufacturing, Operations, Supply Chain, Material Control,
+ Strong communication, and analytical skills
+ Experience in MRP and/or Production Control
+ Self-Motivated Individual with strong organizational, communication, interpersonal, and PC computer skills
**What We Can Offer You -**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $68,800 - $103,200
**Salary Range 2:** $84,600 - $127,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Sunnyvale) U103 TESTER C TURBINES2024-03-17T06:15:18-04:00https://northropgrumman.jobs/CAF35812E0CA46D3847189967E290E2326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems (NGMS) is looking for you to join our team as a TESTER C TURBINES based out of Sunnyvale.
**JOB DESCRIPTION:**
Prepare and connect apparatus for tests and operate test facilities and controls to perform static tests on a variety of turbine and auxiliary products where methods, sequences and tooling are normally established. Work with and/or assist journey level testers as required.
**BASIC QUALIFICATIONS:**
+ Experience with the operation of commercial and/or industrial fluid systems, such as Fluid and Electrical Systems
+ Must be able to obtain and maintain a government issued security clearance Must be able to obtain a stationary operating engineer license
+ Ability to work any shift
+ H.S. Diploma or GED
**PREFERRED QUALIFICATIONS:**
+ Must be able to qualify for and maintain all required watch stations
+ Experience with steam plant operations either onboard a U.S. Navy vessel or within a commercial facility
+ Active security clearance
+ Strong mechanical aptitude, ability to quickly learn and develop skills
+ Excellent motivation to provide an individual contribution to the team
+ Develop and expertise and become a technical expert in particular areas within the department
+ Stationary steam plant operating engineer license
+ Strong troubleshooting background on steam plant equipment #LI-AM1
**PRIMARY FUNCTION:**
Prepare and connect apparatus for tests and operate test facilities and controls to perform static tests on a variety of turbine and auxiliary products where methods, sequences and tooling are normally established. Work with and/or assist journey level testers as required. Qualify, secure, and maintain a security clearance for all tester functions.
**TOOLS AND EQUIPMENT:**
Steam generating facility and supporting auxiliary subsystems including Johnson Matthey SCR, demin system, air injector, Hagan controls, water brake, test stands, tooling, fixtures, pumps, valves, component test equipment. Precision gauges such as pressure, temperature and speed indicators. Electric, flow, hydraulic and vibration recorders. Manual hydraulic and electric hand tools.
**MATERIAL:**
Turbines, gearboxes, oil sumps, forced draft flowers, heat exchangers, turbine generators, valves and control equipment, hardware, water, oil, sulfuric acid, salt, caustic soda, treatment chemicals, rust inhibitor, preservatives, test kits, paper, rags, tape.
**DIRECTION OF OTHERS:** Assist and/or direct support personnel assigned to help in the performance of the test department.
**WORKING PROCEDURE:**
**THE FOLLOWING ARE THE USUAL MAJOR JOB DUTIES BUT THIS DESCRIPTION DOES NOT PRECLUDE THE PERFORMANCE OF OTHER DUTIES BY THE INCUMBENT, NOR THE QUESTION BEING RAISED ON THE PROPER RATE FOR THE ASSIGNMENT.**
**Perform the duties of Assembly Helper and in addition perform the following:**
+ Receive work assignment from supervision and instruction from Test Engineer.
+ Read and follow safety regulations and procedures and MI package including drawings, change notices, operation sheets, specifications and work authorizations.
+ Position and mount apparatus on pedestals and stands, assemble and bolt together components, tests plates, adapters, fixtures, hoses, fittings and piping. Cut and install gaskets as necessary and connect specified steam, water, air and oil lines and gauges for static testing turbines and auxiliary components. Disassemble after testing is completed.
+ Start, operate and monitor test facilities such as pumps, valves, low pressure steam generating equipment and associated auxiliaries (feed water system, cooling water and condensate system, etc.) heat exchangers and water treatment units to maintain the power, steam, water and oil required to static test products.
+ Operate test stand controls to maintain specified pressures, temperatures, and flows to static test a variety of products. Visually check for leaks during test and continually review test data to insure results are within the parameters of the specification and operating policies.
+ Start and operate pickling equipment to clean various castings, fabrications and parts.
+ Assemble and connect products for testing, operate controls, and assist in conducting routine dynamic tests of products under the direction of a higher-level tester.
+ Maintain inventory of instruments and gauges and have them calibrated at specified times.
+ Observe safe operating practices and maintain familiarity with emergency shutdown procedures.
+ Make proper time charge entries and record data as required.
+ Maintain tools, equipment, and work area in a clean and orderly condition.
+ Perform other work of equal or lower classification as required.
This is Union represented position.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Corinne) Northrop Grumman (DoD Skillbridge) - Machinist 32024-03-17T06:15:18-04:00https://northropgrumman.jobs/D3BC317A981C4AEA9E389D6BDD712DFD26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman (DoD Skillbridge) - Machinist 3
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identify as veterans, and more than 1,600 are reservists.
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days.
The Northrop Grumman Military internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members.
Responsibilities for this internship position are:
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SKillbridge) utilizing the DoDI guidance for Skillbridge.
During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
Goals - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
Objectives - Service Members who complete the Intern program will be highly-trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day
1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
Outcome - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
DoD SkillBridge Eligibility:
•Has served at least 180 days on active duty
•Is within 12 months of separation or retirement
•Will receive an honorable discharge
•Has taken any service TAPS/TGPS
•Has attended or participated in an ethics brief within the last 12 months
•Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.
Candidate will be required to satisfy the requirements for a TSA access certification. (Costs will be paid for by Northrop Grumman.)
Candidates who express an interest may be considered for future positions at Northrop Grumman.
Responsibilities for this internship requisition position are:
Internship Description:
The Northrop Grumman Military Veteran Program (NG-MVP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MVP is an opportunity for service members to gain valuable civilian work experience through specific industry training and through individual internships during the last 180 days of service. Any rank, enlisted or officer, may apply for SkillBridge.
SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members. Your unit Commander must authorize participation in NG-MVP prior to start of internship.
NG-MVP is a program that will bridge the gap for transitioning service members and is held 3 times a year at various Northrop Grumman installations around the country. Service members will gain valuable experience and training throughout their internship with a potential opportunity to gain employment with Northrop Grumman upon completion of active duty service obligation.
NG-MVP Eligibility:
•Has served at least 180 days on active duty
•Is within 180 days of separation or retirement
•Will receive an honorable discharge
•Has taken any service TAPS/TGPS
•Has attended or participated in an ethics brief within the last 12 months
Internship Description:
The Northrop Grumman Military Veteran Program (NG-MVP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MVP is an opportunity for service members to gain valuable civilian work experience through specific industry training and through individual internships during the last 180 days of service. Any rank, enlisted or officer, may apply for SkillBridge.
SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members. Your unit Commander must authorize participation in NG-MVP prior to start of internship.
NG-MVP is a program that will bridge the gap for transitioning service members and is held 3 times a year at various Northrop Grumman installations around the country. Service members will gain valuable experience and training throughout their internship with a potential opportunity to gain employment with Northrop Grumman upon completion of active duty service obligation.
NG-MVP Eligibility:
•Has served at least 180 days on active duty
•Is within 180 days of separation or retirement
•Will receive an honorable discharge
•Has taken any service TAPS/TGPS
•Has attended or participated in an ethics brief within the last 12 months
Internship Description
Propulsion Systems is currently seeking a **Machinist 3** to join our Machine Shop team at our **Promontory, Utah** plant.
**Role Description & Responsibilities:**
This job involves machining parts per specification by setting up and operating CNC equipment. The Machinist is responsible for: quality of the product by performing both in-process and final part inspections, care and maintenance of the equipment, assuring tooling and materials readiness, and maintaining a clean and safe work environment. This position will require the individual to provide training to others in all aspects of CNC equipment setup, operation and maintenance.
**Competencies for Success:**
• Strong interpersonal communication skills with ability to work with others at all levels of the organization
• Proven track record training mid to upper skill level machine operators
• Strong attention to detail and accuracy
• Ability to prioritize
• Excellent time management skills
• Ability to work independently
Ability to work in a dynamic, fast paced, diverse environment
• Initiative, self-starter, adaptable, and high motivation for excellence
• High energy, results oriented, self-motivated / self-reliant, team player
**Job Requirements:**
• Candidate must have 4+ years experience on 3 Axis CNC machines, 4 and 5 Axis a plus
• Must have experience running manual machines and performing set-ups
• Experience with OSP and/or Fanuc Controller preferred
• Ability to read and interpret production specifications, production diagrams, assembly drawings, and technical specifications (English)
• Ability to read and interpret blue prints
• Expert in GD&T
• Experience in following written set-up instructions and /or performing unique set-ups
• Demonstrated ability to resolve unforeseen, unique issues as they arise in machining a part(s)
• Experience in complex measurements and advanced shop math calculations relative to tolerances, dimensions, tooling, and feeds and speeds
• Ability to understand and work with knowledge of Lean manufacturing principles and disciplines
• Perform other related work assignments special projects, and other duties as assigned by the Manufacturing Supervisor or Shift Lead
• Experience fabricating component parts where set-ups are non-routine and tolerances are difficult to acquire and maintain
• Expert on materials and machining processes
• Able to work overtime and weekends as required
• Able to work in a standing position for extended time periods
• Able to lift or move a minimum of 35 -50 lbs. for up to 12 hours per day; pushing or pulling 35 -50+ lbs. occasionally throughout the work day
**Education:**
• A minimum of a 2 year technical degree and/or a certificate in machine shop technology.
• 4 Years related machining experience. Able to work with limited guidance in a team environment.
• Prefer experience machining energetic materials.
**Salary Range:** $1 - $1
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Aurora) Staff Cyber Architect (TS/SCI with Full-Scope Polygraph Required)2024-03-17T06:15:16-04:00https://northropgrumman.jobs/30E15B4C9A264505B9CEA784A2A127CE26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, and innovative technologies to develop, design, produce, and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today. #definingpossible
Northrop Grumman is seeking a **Staff** **Cyber Architect** to join our team. This position can be located in **Aurora, CO,** **Linthicum, MD, or Fairfax, VA.**
Job responsibilities will include, but not be limited to, the following:
+ Able to communicate effectively at all levels of the organization, with internal or external customers, in written and oral formats.
+ Maintain smooth operation of multi-user computer systems, including coordination with network, software, and system engineers, system administrators, project managers, end users, and customer and IT management.
+ Ensure necessary administration tasks are completed, and direct others as necessary.
+ Analyze internal or external customer requirements and determine equipment and software requirements for solutions to problems by means of automated systems.
+ Recommend and implement system enhancements that will improve the performance and reliability of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Develop and document technical processes and procedures, applicable to similar multiple networks.
+ Make recommendations to purchase hardware, software, and system components.
+ Interact, meet, discuss, and troubleshoot issues with vendors; evaluate vendor products, services, and suggestions.
+ Communicate and implement strict Information Systems security guidelines in all cases.
+ Revise System Security Plans and CONOP authorizations annually to maintain security audit and logging information on all classified networked and standalone environments.
+ Prepare security documentation for authorizing officials, to include ISSM Representatives and customer appointed SMEs.
+ Report project status as required for all recurring and non-recurring efforts.
+ Work under minimal direction and independently determine and develop an approach to solutions.
**Basic Qualifications**
+ Bachelor's degree with 14 years of IT experience; master's degree with 12 years of IT experience; 18 years' experience in lieu of a degree may be considered.
+ **Candidate must have active/current Top Secret/SCI clearance with adjudicated Full Scope Polygraph to be considered.**
+ Must have system assessment and accreditation experience with fluency in RMF Controls evidence and adjudication knowledge.
+ Ability to support after hours and on weekends as needed
+ Current CISSP, Security+ CE or similar advanced security certification
+ Ability to travel up to 30 %
**Preferred Qualifications**
+ Higher degree in a STEM-related discipline
+ Current CISSP plus environment-based certification.
+ Experience managing systems within DoD 5220.22-M (NISPOM) Chapter 8, NIST RMF 800-53, ICD 503 - IC Information Technology Systems Security Risk Management, SRG/STIG implementations, JAFAN, or JSIG requirements and directives
+ Solid understanding of remediating security vulnerabilities; Experience hardening Windows and Linux based operating systems.
+ Knowledge of NSM-8, including multi-factor authentication (MFA), Identity Management (Single Sign-On), Raise the Bar (RTB) Compliance on CDS implementations
+ Solid writing skills and use of Wiki pages and Confluence sites for documentation
+ Current CISSP, Security+ CE or similar security certification
**Salary Range:** $157,500 - $236,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-AL-Huntsville) Principal / Sr. Principal Cyber Software Engineer2024-03-17T06:15:16-04:00https://northropgrumman.jobs/BBF551721D6C4646AD500B1085A36F7526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is a trusted provider of mission-enabling solutions for global security. Our Engineering and Sciences (E&S) organization pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills and innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
Northrop Grumman Mission Systems is seeking a **Principal or Senior Principal Cyber Software Engineer** to join our Strategic Cyber team located in Huntsville, AL, San Antonio, TX, or Annapolis Junction, MD. As a Cyber Software Engineer at Northrop Grumman, you will have a challenging and rewarding opportunity to be a part of our Enterprise-wide digital transformation. Through the use of Model-based Engineering, DevSecOps and Agile practices we continue to evolve how we deliver critical national defense products and capabilities for the warfighter. Our success is grounded in our ability to embrace change, move quickly and continuously drive innovation. The successful candidate will be collaborative, open, transparent, and team-oriented with a focus on team empowerment & shared responsibility, flexibility, continuous learning, and a culture of automation.
**What You'll Get to Do:**
In this role, the Cyber Software Engineer develops software products to meet cyber requirements or provide cyber capabilities targeted for current and future potential programs.
Be part of our Agile development team using modern development practices in a DevOps environment. Work on C++, Java, and/or Python programming. You'll utilize the Atlassian product suite, including Jira and Confluence. Our small but highly energized Agile Scrum team has a significant development effort with a large impact. Please consider joining us and thrive within our fast-paced software development team! We pride ourselves in our experience and highly supportive environment.
This is a great opportunity for a flexible self-starter to work in a dynamic environment with real world technical content supporting a number of tools and systems.
NGFeaturedJobs
This requisition may be filled at a higher grade based on qualifications listed below
This requisition may be filled at either a Principal Level or a Sr. Principal Level.
**Basic Qualifications for a Principal Cyber Software Engineer:**
+ Bachelor's Degree and a minimum of 5 years of related experience; 3 years of related experience with a Master's degree; 0 years of related experience with a PhD; an additional 4 years of related experience may be considered in lieu of degree
+ Experience in higher level programming languages such as Java, Python, C++ Experience in object-oriented design and development
+ US Citizenship is required in order to obtain and maintain security clearance
**Basic Qualifications for a Sr. Principal Cyber Software Engineer:**
+ Bachelor's Degree and a minimum of 9 years of related experience; 7 years of related experience with a Master's degree; 4 years of related experience with a PhD; an additional 4 years of related experience may be considered in lieu of degree
+ Experience in higher level programming languages such as Java, Python, C++ Experience in object-oriented design and development
+ US Citizenship is required in order to obtain and maintain security clearance
**Preferred Qualifications:**
+ Cyber certification such as a Security+ or CISSP
+ Current Secret or higher Security Clearance
+ Software Assurance experience
+ Experience managing and directing efforts following an Agile and DevSecOps process model
+ Expertise with containerized software systems in accordance with DoD DevSecOps Reference Design
+ Excellent communication (written and oral), negotiation, coordination, and interpersonal skills
+ Proven collaboration with program managers and customer representatives to meet program objectives
+ Ability to calmly negotiate challenging program requirements, strategies, and conflicting constraints among a large and diverse group of program stakeholders
+ Expertise with Model-Based System Engineering (MBSE) and related tools such as DOORS and Cameo
+ Knowledge of Cyber Security Engineering practices, network technologies, DoD system development life cycles and methodologies, and insight into information technology infrastructure management/monitoring and applications
+ Proven success analyzing, estimating, and implementing solutions to complex problems spanning multiple engineering disciplines
**Salary Range:** $104,700 - $157,100
**Salary Range 2:** $129,800 - $194,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-IL-Rolling Meadows) Space- Manager Hardware Engineering 22024-03-17T06:15:15-04:00https://northropgrumman.jobs/DBAC552D292345EEA4812EB52AFB30D326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history; they're making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
Northrop Grumman Space Systems is seeking candidates for the position of Manager Hardware Engineering 2. The selected candidate for this position will report to the Remote Sensing Programs Engineering Organization within the Payload and Ground Systems (PGS) Division in Rolling Meadows, IL.
This is a dual role position, involving direct management of a talented team of high performing multi-discipline engineers as well as project engineering leadership for technically advanced development programs to produce cutting edge hardware. The Manager Hardware Engineering will require tasking and coordination of up to 15 engineers, and process and resource coordination with functional homeroom management. The position will also have 80% of his/her time allocated to technical responsibilities. This candidate will demonstrate a broad skill set that is balanced between interpersonal, leadership, and technical capabilities. He/she must be a self-starter, able to efficiently operate in a team environment, and collaborate across the sector business areas as required to accomplish the team goals. The candidate will work closely with other engineering disciplines.
**Management Roles & Responsibilities:**
+ Managing and guiding multi-discipline engineers
+ Setting objectives and then monitoring and reviewing yearly progress for your team
+ Being responsible and accountable for managing the workload of your direct reports
+ Recruiting, interviewing, and hiring top-ranked engineering talent
+ Providing timely, constructive support and feedback to your reports on a regular basis
+ Completing semi-annual performance assessments of your team members
+ Motivating your team members (engagement) and facilitating regular meetings with them
+ Providing mentoring and career development to each team member
+ Coordinating training for each team member
+ Providing appropriate tools and resources for your team's development activities
+ Support/lead project execution over the whole product lifecycle, with foremost emphasis on meeting or exceeding customer and stakeholder requirements
+ Facilitate and maintain good working relationships with internal and external stakeholders
+ Manage a group of up to 15 direct reports
**Technical Roles & Responsibilities:**
+ Leadership of program technical execution in order to meet cost and schedule constraints
+ Program staffing for optimal execution
+ Technical direction, guidance, and mentoring of project team members
+ Working programs, proposals, or internally-funded activities in a technical or leadership role
+ Risk-mitigation planning and execution
+ Strategic problem solving
+ Earned value management
**Basic Qualifications for a Manager Hardware Engineering 2:**
+ Bachelor's Degree in Electrical Engineering, or related STEM field and a minimum of 9 years of electronics design development/engineering experience(7 year experience with a MS degree).
+ Demonstrated leadership experience
+ Proficiency in one or more of the following: FPGA design, AC/DC circuit design, power design, mixed-signal design, circuit simulation and test, CCA design and analysis, EMI/EMC design, or mechanical design/analysis
+ Experience with electronics lifecycle such as: specifications, architecture, design, implementation, integration, debug and maintenance.
+ Ability to work independently and within a team environment
+ Experience with electronics integration
+ Proven communication and presentation skills
+ Experience with version control and collaboration tools
+ U.S. Citizenship with the ability to obtain and maintain a minimum of a Department of Defense (DoD) Top Secret Security clearance
**Preferred Qualifications:**
+ Experience in electronic design development of Optical, IR, RF, Military, or Space Technologies
+ Prior engineering functional management experience (executing performance appraisals, staffing allocations, design process leadership, and career goals development)
+ Current, active Top Secret clearance
+ Experience with design and development of defense electronics & technologies
+ Experience with FPGA-based systems for signal processing applicants
+ Experience as a technical lead, functional lead, and/or leadership in the military
+ Experience in multiple relevant technology areas
+ Experience in recruiting and developing top engineering talent
**Salary Range:** $131,800 - $197,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Principal / Sr. Principal PCM Superconducting Electronics Systems Engineer Transformational Computing2024-03-17T06:15:14-04:00https://northropgrumman.jobs/2161C1118F1045F48D221DF41E68D60126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Transformational Computing operating unit within Northrop Grumman Mission Systems (NGMS) is a highly motivated, talented, and growing organization focused on advancing the science and engineering of superconducting electronic systems into new capabilities and products. Transformational Computing is developing the technologies to transform computing beyond Moore's Law by advancing development of computer architectures, processing and memory subsystems, and large-scale high-performance computing systems. The Advanced Technology Lab of Northrop Grumman Mission Systems is seeking Superconducting Electronics engineers. The qualified candidate will become part of Northrop Grumman's Transformational Technology Operating Unit. Transformational Technology is focused on developing state-of-the-art next generation superconducting electronics (SCE) and advanced computing technologies.
**Responsibilities:**
Your primary responsibility will be to contribute to the development of a software suite in Python that is responsible for data acquisition and test automation in a laboratory environment software as part of a small (4-6 member) team using an Agile workflow. Independent work will also at times be required.
**Experience:**
The ideal candidate will have experience in Python development. Ideally this Python experience would include specific experience with at least some of the following list, in decreasing order of importance: pytest, PyVISA, PyQT, pandas, matplotlib, and QtDesigner.
Additional helpful but not required technical experience includes electrical systems test equipment, embedded systems development, data processing tools, and devops toolsets - see "Preferred Qualifications" section below for more details.
Candidates should also have experience with agile team workflows, either through work experience or educational training.
**Interests suited to this role:**
It would be beneficial in this role to have familiarity with or at least significant interest in interfacing with low-level hardware to directly test the properties and responses of physical systems, including extraction and processing of the resulting raw data into more usable forms. Additional domain knowledge in superconducting physics, analog and digital electrical systems, and semiconductor manufacturing processes would also be helpful.
This position requires work on site located at our Advanced Technology Lab (ATL) in **Linthicum/ Annapolis Junction, MD.**
**This position can be filled at either the Principal or Sr. Principal level.**
**Basic Qualifications for Principal PCM Superconducting Systems Engineer:**
+ Bachelor's Degree or higher in a STEM discipline (Science, Technology, Engineering, Math) such as Electrical Engineering, Computer Engineering, Computer Science, Physics, or a similar technical discipline with 5 years of related experience or MS in a STEM discipline with 3 years of related experience or PhD in a STEM discipline with 0 years of related experience
+ Proficiency with Python development in both object-oriented and functional contexts
+ Experience with developing and contributing to larger scale software projects
+ Experience with developing software in a version-controlled environment
+ Experience with developing unit tests
+ Experience with agile software development methodologies
+ This position requires the applicant to be a U.S. citizen and the ability to obtain and maintain a TS/SCI with poly clearance per business requirements
**Basic Qualifications for Senior Principal PCM Superconducting Systems Engineer:**
+ Bachelor's Degree or higher in a STEM discipline (Science, Technology, Engineering, Math) such as Electrical Engineering, Computer Engineering, Computer Science, Physics, or a similar technical discipline with 9 years of related experience or MS in a STEM discipline with 7 years of related experience or PhD in a STEM discipline with 4 years of related experience
+ Proficiency with Python development in both object-oriented and functional contexts
+ Experience with developing and contributing to larger scale software projects
+ Experience with developing software in a version-controlled environment
+ Experience with developing unit tests
+ Experience with agile software development methodologies
+ This position requires the applicant to be a U.S. citizen and the ability to obtain and maintain a TS/SCI with poly clearance per business requirements
**Preferred Qualifications for Associate / PCM Superconducting Systems Engineer:**
+ Experience with the following software tools, languages, and systems:
+ Python: pytest, PyVISA, PyQT, pandas, matplotlib
+ SCPI
+ QtDesigner
+ C++ git, GitHub
+ JIRA
+ Jenkins
+ Matlab
+ Experience with test equipment including source meters, oscilloscopes, digital multimeters, vector network analyzers, spectrum analyzers, etc.
+ Experience controlling such equipment via the SCPI protocol is particularly valuable
+ Domain knowledge or significant interest in:
+ Superconducting physics
+ Conventional and unconventional analog and digital systems (low-level)
+ Semiconductor fabrication methodologies
+ Experience with interfacing with end users to derive software requirements.
+ Active TS/SCI with poly security clearance.
\#NGAPS
\#SEITAPS
**Salary Range:** $102,400 - $160,900
**Salary Range 2:** $127,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Falls Church) Information Governance Architect2024-03-16T06:15:46-04:00https://northropgrumman.jobs/EF7E196C6E114B168E30A586F05AC16D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Chief Data Office is seeking an Information Governance Architect for the growing Data Governance program. In this role you will handle the strategy, design, development, and execution of enterprise data governance capabilities into data processes, policies, architecture, systems, and tools. You will help define the data framework that supports cataloging, securing, cleansing, and enriching enterprise data assets. The successful candidate will be self-motivated, excited about taking on a variety of challenges, and is passionate about the critical role data governance plays within a data driven organization.
This role will include regular engagement with leaders across the enterprise including corporate executives and requires sound business judgment, team leadership and relationship building poise. The successful candidate will also have strong executive presence, strong attention to detail, and be an effective communicator while presenting to leaders as a translator of complex technical concepts to business value.
**This position will be based out of the Falls Church Corporate office, however, we will consider fulltime, virtual/telecommuter candidates.** Teleworking arrangements are subject to change based on the needs of the business. Some travel may be required to engage key stakeholders in the system design and implementation process.
Responsibilities include but are not limited to:
+ **Lead and support the data governance strategy, framework, and architecture implementation:**
+ Take a lead role in the creation, refresh, communication and implementation of data governance strategy, policy, frameworks, operating models, and concepts including a domain based federated engagement model.
+ Develop a framework for embedding and operationalizing data governance concepts across business and technical landscapes.
+ Evangelize enterprise data governance across business verticals and build trust with business and IT stakeholders.
+ Take a lead role in the creation, refresh, communication, and implementation of enterprise data management concepts such as data governance, data quality, reference/master data management, metadata management, data lifecycle operations, data accessibility, security, data lineage, enterprise data tagging, labeling taxonomy, and implementation.
+ Develop solutions in collaboration with customers and platform architects to support customer needs in a highly complex and dynamic environment.
+ **Lead and support information and data governance enablement, and engagement operations across the organization:**
+ Provide advisory and consultancy support to enterprise customers for data governance requests.
+ Build productive working partnerships with federated data governance groups across the company supplying tools, capabilities, frameworks, company data policies and process to evolve the maturity of those federated working groups to make enterprise-wide decisions on data.
+ Create partnerships with data authorities and other stakeholders to build data governance advocacy and a strong data culture.
+ Develop strong working relationships with the appropriate business users, provide business-impact-driven analyses, and communicate results to stakeholders.
+ Support leadership to set up roadmaps, milestones with cross-functional team to meet program cost, schedule, and technical performance objectives.
+ Work closely with business partners, process owners, and data stewards (producers/consumers) to ensure that enterprise governance processes are implemented for their respective domain/process.
+ Supply input and deliver education and training on data governance concepts, standards, guidelines, and processes to scale enterprise data literacy.
+ Ensure policies and controls are properly defined, communicated, and implemented to manage proper use of critical data assets.
+ Manage development of data knowledgebase (guidance, information, best practices, handbooks, guides, non-mandatory forms) for review through a standards process
+ Continuously refresh the Information Governance Playbook with reusable artifacts to scale our enterprise capabilities efficiently.
**Basic Qualifications:**
To be considered for this position, you must minimally meet the knowledge, skills, and abilities listed below. Role can be hired as a Level 3 or Level 4 based on the candidate's experience and education.
+ **Level 3 - bachelor's degree from an accredited university in STEM discipline and 5 Years with Bachelor of Science; 3 Years with Masters; 0 Years with PhD.**
+ **Level 4 - bachelor's degree from an accredited university in STEM discipline and 9 Years with Bachelor of Science; 7 Years with Masters; 4 Years with PhD.**
+ Demonstrated experience using data domain driven design to embed into governance strategy and processes.
+ Demonstrated experience developing information governance strategy, frameworks, operating models, and concepts and implementing them in large enterprises.
+ Demonstrated experience in enterprise data management implementation, including data governance, data quality, metadata management, master data management, and/or designing and implementing functional systems and data in a structured process.
+ Demonstrated experience with logical and physical data modeling and how they align to a data governance strategy and architecture.
+ Demonstrated experience with the implementation of data fabric and data mesh concepts.
+ Demonstrated experience with a breadth of data governance and metadata management platforms such as Collibra, Denodo and Alation.
+ Strong ability to manage changing priorities, priorities from multiple stakeholders and connect aligned priority activities across the enterprise.
+ Demonstrated ability to work collaboratively in a team environment with limited direction.
+ Outstanding oral and written communication skills
+ Demonstrated experience framing, creating, and delivering executive presentations.
+ Ability to obtain a DOD Secret clearance.
**Preferred Qualifications:**
Candidates with some of these desired skills will be given preferential consideration:
+ Demonstrated experience in a management consulting and/or customer facing, fast paced, dynamic role.
+ Demonstrated experience with enterprise data security platforms like Varonis, Titus, Microsoft Purview
+ Demonstrated experience with cloud technologies (AWS, Azure)
+ Demonstrated experience in collaborative situations with diverse groups of stakeholders.
+ Proven ability to lead through and influence at all organizational levels.
+ Proven strength navigating executive communications to recommend and make sound judgments on sensitive topics with broad implications.
+ Experience in data mapping, data flow (lineage and transformation logic) documentation, data dictionary, and other data governance and master data management documentation.
+ Experience implementing data governance, data catalogs and data catalog platforms, and/or data privacy.
+ Experience in metadata management (data classifications, data tagging, glossary, and data lineage)
+ Strong understanding of data, data analytics, and data systems
+ Understanding of Northrop Grumman policies, processes, and data sources
+ Experience with DoD & international customers and/or partners
+ Experience with Agile development and methodologies
**Salary Range:** $97,500 - $160,900
**Salary Range 2:** $121,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Edwards AFB) Logistics Management Analyst - Secret2024-03-16T06:15:45-04:00https://northropgrumman.jobs/8C3BB01A7ABD411686F1A71AC73ACED526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Are you ready to put your experience to work at Northrop Grumman? If so, our Defense Systems sector wants you to join our Team as a Logistics Management Analyst. This position is located at Edwards AFB, CA.
Your duties include:
+ Controls the efficient flow of goods, services, and information between points of origin through customer placement in order to meet customer requirements.
+ Ensures that customer service and time objectives are achieved within existing financial constraints in order to meet marketing and financial objectives .
+ Ensures the execution and continuous improvement of standard logistics processes, such as the replenishment system, data interchange systems, demand management, electronic data systems administration and related functions.
+ Builds relationships with strategic customers through logistics initiatives.
+ Integrates learning from customers, competitors, operating entities, distribution, transportation, customer service, other industries, industry groups, and professional training to continuously improve competitive position.
You will work alongside with Northrop Grumman and U.S. Government personnel at Edwards AFB, CA.
NGSkills
**Basic Qualifications:**
+ A minimum of 7 yrs of relevant Logistics Management Analyst experience and a High School diploma or equivalent. 3 Years with Bachelors degree; 1 Year with Masters degree may also be considered.
+ Possess the ability to work in a diverse/integrated work environment.
+ Proficiency with Microsoft Office Suite: Word, Excel and PowerPoint.
+ Experience working in Supply Chain Management
+ Ability to multi-task, organize and prioritize assignments
+ A current DoD Secret Security Clearance.
+ Experience in team dynamics, exceptional written and oral communication skills.
+ Ability to work in an approved environment during specified work hours and will frequently move and position objects weighing 30-50 lbs. overhead.
+ Ability to work a flexible work schedule that may include extended shifts, holidays, and weekends and support on call status for 24 hours-a-day, 7 days-a-week, 365 days a year.
+ Ability to obtain Special Program Access clearance within 365 days from submission.
+ Ability to work onsite at Edwards AFB on 1st, 2nd, and/or 3rd shifts, as required .
**Preferred Qualifications:**
+ User experience with Systems, Applications and Products (SAP) and Maximo.
+ Familiar with processing Return Material Authorization (RMA) and knowledgeable in processing shipments of repairable assets to Source of Repair (SOR) and/or local disposal.
+ Experience with material and budget planning.
+ Proficiency in p rocurement process.
+ Material control logistic experience.
+ Warehouse inventory experience.
+ Knowledgeable of SDS 's .
+ Trained in HAZMAT operations.
+ Knowledge of vendor Certificate of Conformance ( CoC ).
+ Forklift qualified.
+ Strong customer service skills.
+ Extensive experience with MS Excel, Word, and PowerPoint.
**Salary Range:** $65,600 - $98,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Woodland Hills) Engineer Software Quality / Principal Engineer Software Quality2024-03-16T06:15:44-04:00https://northropgrumman.jobs/D99A316215224A7C885BBF85FCAF1FA926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman manufactures some of the world's most technically advanced products. Our manufacturing plants are highly automated, cutting-edge centers of excellence where employees leverage the latest technology, including the strategic use of automation, 3-D printing and robotics. There is a myriad of job functions associated with the manufacture of our products that range from machinists, engineers, operation program managers, and quality inspectors. Our mission is to deliver differentiated value to our programs, by manufacturing products with the highest possible quality at the most affordable rate. Search our current job openings and join us to be at the front line of innovation. Northrop Grumman Mission Systems (NGMS) is looking for you to join our team as an **Engineer Software Quality / Principal Engineer Software Quality** based out of **Woodland Hills, CA.**
**Roles and Responsibilities:**
+ Develops, modifies, applies, and maintains standards for software quality operating methods, processes, systems and procedures.
+ Conducts software inspection, testing, verification and validation.
+ Implements software development and maintenance processes and methods. Ensures measures meet acceptable reliability standards.
+ Develops overall operating criteria to ensure implementation of the software quality program according to project, process and contract requirements and objectives.
+ Ensures that project and process control documentation are compliant with requirements, objectives and/or contract.
+ Reviews software design, change specifications, and plans against contractual and/or process requirements.
+ Reviews include applicable specifications, materials, tools, techniques, and methodologies.
+ Performs or directs verification of software requirement allocations, traceability, and testability.
**Basic Qualification for Engineer Software Quality:**
+ Bachelor's in STEM related discipline with 2 years of experience; Master's with 0 years of experience.
+ Knowledge of the software development process
+ Knowledge of software audits
+ Knowledge of software work product reviews
+ Familiarity with monitoring of software testing
+ Must be able to obtain and maintain a Secret Clearance.
**Basic Qualification for Principal Engineer Software Quality:**
+ Bachelor's in STEM related discipline with 5 years of experience; Master's with 3 years of experience.
+ Knowledge of the software development process
+ Knowledge of software audits
+ Knowledge of software work product reviews
+ Familiarity with monitoring of software testing
+ Must be able to obtain and maintain a Secret Clearance.
**Preferred Qualification:**
+ Experience with DO-178 and AS9100 requirements.
+ Experience with ClearCase, ClearQuest, MathLab, MathWorks, and Doors.
+ Familiarity with software development in an Agile environment.
+ Active Clearance.
**Salary Range:** $79,300 - $118,900
**Salary Range 2:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Manager Thermal Engineering 12024-03-16T06:15:43-04:00https://northropgrumman.jobs/68A2B60B2C554CA2B33211D5EE201FBB26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At **Northrop Grumman** , our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean to landing on the moon. Our culture thrives on intellectual curiosity, technical innovation, and working together to define the possible. We are committed to a culture of integrity, ethics and respect. We achieve our performance goals with diverse and inclusive teams, and remain accountable for our quality and customer commitments.
This position is for a Functional Manager of Thermal Analysts, supporting the Mission Systems Sector, in the Mechanical Analysis Section of the Hardware Synergy department (at Baltimore, MD, located near BWI). The manager will be responsible for both functional management and technical supervision of a Thermal Analysis and Design group. The manager also ensures that the people, processes, and tools are in place to maintain program cost and schedule performance, while meeting program customer structural design requirements.
This is a dual role manager position, involving technical responsibilities as well. These include oversight of the thermal analysis and design, in varying environments, including airborne, space, maritime and land based. Technical areas of proficiency include leading a thermal design team ("thermal lead"), knowledge of heat paths, and thermal analysis (heat transfer, fluid dynamics, electronics cooling). The manager will also be responsible for attending internal technical reviews and customer reviews, analysis/test report approval, and thermal trade studies.
The manager is also responsible for staffing plans, staff performance evaluation, goal planning, process improvement, roadmap development, and hiring to manage analysis demand and skill attrition. The manager will be able to lead through positive changes, as a champion for improvement and innovation. Employee engagement and development will be maintained through a combination of training, employee career coaching, mentoring, identification of stretch assignments, and engagement action planning. The manager will be able to meet performance goals with consideration for work/life balance of the staff. The candidate should have strong communications skills, and an ability to build and maintain relationships with direct staff, customers, and cross organization partners.
**Basic Qualifications:**
+ Bachelor's Degree in a STEM (Science, Technology, Engineering or Math) discipline with 5 years of professional experience, 3 years with a Master's, or a PhD
+ Experience in technical leadership, program, project, or functional/people management
+ Experience with classical and Finite Element analyses
+ Strong leadership qualities, including excellent verbal and written communication, cross organization collaboration, team engagement, and organizational skills
+ US Citizenship
+ The ability to obtain and maintain DoD Secret clearance (or higher)
**Preferred Qualifications:**
+ Demonstrated success leading high performing teams (technical, Integrated Product Team Lead, Agile, or functional management)
+ Expertise with classical thermal and fluid design methodologies
+ Prior electronics cooling experience
+ Expertise with Ansys Icepak, Ansys Thermal, or Ansys Fluent
+ Exposure to CAD software packages
+ Experience leading process improvement, or introduction of new tools projects
+ Active DoD Secret Level Clearance (or higher)
This position is contingent upon the ability to obtain and maintain a DoD Secret Clearance or higher and/or SAP.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.
**Salary Range:** $109,800 - $164,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) U109 Semiconductor Equipment Technician C - 5th Shift2024-03-16T06:15:42-04:00https://northropgrumman.jobs/F4E4626667B0414E9180E4B92B51881826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a Semi-Conductor Equipment Technician C to join its organization. This position is located in **Linthicum, Maryland.**
**Primary Function:**
Repair and align a variety of equipment used in the manufacturing and testing of semi-conductors.
Maintain in working order assembly & packaging, diffusion, mask making, wafer processing, high vacuum & photo-processing equipment used in the fabricating and testing of semi-conductor wafers, chips and substrates. Review information such as manufacturers specifications, operations manuals, drawings, wiring, wring diagrams, schematics and the like. Analyze equipment performance to determine causes of malfunctions using available test equipment.
Perform tests on equipment where the test involves functional operation of the equipment and where specific performance values are to be measured as required. Align, adjust and time circuity as necessary to bring within performance specifications.
Perform electrical, electronic, mechanical and/or hydraulic repairs which may require dismantling equipment to make necessary adjustment or repair.
Perform preventative maintenance according to established procedures which include such functions as changing filters and hydraulic fluids, cleaning and lubricating, tightening connections and making circuit adjustments.
Make specified modifications on equipment using such information as original schematics and wiring diagrams and change bulletins.
Prepare and submit maintenance reports and data to higher classified personnel as required.
Make calculations required in the summarization of data per detailed instructions using algebraic formulae.
**This is a 5th Shift position**
**(7:00pm - 7:00am on Fri/Sat/Sun)**
**This is a SEA Union Represented position**
**Education Requirement:**
High school graduate or equivalent.
Must possess an Associates Degree (minimum 60 credits) with a major in Electronics OR six months military electronics school plus two years working experience in the maintenance and repair of electro-mechanical equipment.
**Experience Requirement:**
Must have knowledge of electro-mechanical principles.
Must pass 600A Tech Assessment
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-ND-Emerado) Flight Test Tech 1/2 (A&P Educational Programs)2024-03-16T06:15:41-04:00https://northropgrumman.jobs/56D7265BB6F64EF293060A48EE2654EC26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aerospace Systems (NGAS) is seeking a Flight Test Technician 2 at our Grand Sky Autonomous Capabilities Center in America's 1st UAS Business Park, Grand Sky, minutes from Grand Forks, ND. Our Center pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences, all to provide a decisive advantage to the warfighter. For more information about the Grand Forks region, see www.GrandForksIsCooler.com . Come be a part of our mission!
**Responsibilities include:**
+ Instructs, coordinates, and performs the duties / functions of Flight Test personne l in area(s) of assignment.
+ Determines the sequence for installing, removing, maintaining, repairing, or fabricating critical aircraft components, or electrical / electronic, mechanical or instrumentation systems.
+ Performs testing, rework, and repair in various fields of specialization.
+ Applies shop theory and skills in accomplishing assigned tasks working from incomplete engineering, electronic data, blueprints, sketches, engineering drawings, technical orders and written and verbal instructions .
+ Performs drilling, reaming, fitting, assembly, trimming, riveting, splicing, insulating and other associated duties as assigned.
+ Performs electrical, mechanical or instrumentation maintenance, repair, and testing in support of specific flight test projects.
+ Constructs, troubleshoots, calibrates, adjusts, tests , and maintains various types of complex aircraft equipment, components, devices, or systems .
+ Performs modifications and adjustments as necessary.
+ Notifies lead personnel or supervision of any / all malfunctions, defects and damages of equipment, material, and product.
+ Checks work for completeness and accuracy.
+ Performs Production Accountability duties associated with the processing, verification, quality auditing and inspection of assemblies, parts, and tooling for which the individual has been delegated production accountability.
+ May be required to work in other fields incidental to, and in connection with completion of assignment.
+ May be required to perform other related duties as assigned. We offer flexible work arrangements, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
**Basic Qualifications for a Flight Test Technician 1:**
+ Be completing or has completed an Aviation Maintenance Technician (AMT) program
+ Must complete and receive an Airframe and Powerplant (A&P) license within 90 days of graduation from an AMT program, or must currently have an A&P license
+ High School Diploma/GED and 0 years of industry-related experience.
+ Ability to obtain and maintain a DoD security clearance (or higher) within a reasonable amount of time as determined by program needs.
+ Ability to obtain and maintain Special Program Access (PAR/SAP) within a reasonable amount of time as determined by program needs.
+ Selected Candidate must be willing to work various shifts depending on the business needs: 4x10 schedule (Mon-Thurs) 1st shift; 4x10 (Mon-Thurs) 2nd shift; 3x10 schedule (Fri, Sat, Sun) 2nd shift; 3x10 schedule (Fri, Sat, Sun) 1st shift.
+ Ability to work in an outside environment at various times of year.
+ Able to travel ~25% of the time.
**Basic Qualifications for a Flight Test Technician 2:**
+ Be completing or has completed an Aviation Maintenance Technician (AMT) program
+ Must complete and receive an Airframe and Powerplant (A&P) license within 90 days of graduation from an AMT program, or must currently have an A&P license
+ High School Diploma/GED and 1 year of industry-related experience.
+ Ability to obtain and maintain a DoD security clearance (or higher) within a reasonable amount of time as determined by program needs.
+ Ability to obtain and maintain Special Program Access (PAR/SAP) within a reasonable amount of time as determined by program needs.
+ Selected Candidate must be willing to work various shifts depending on the business needs: 4x10 schedule (Mon-Thurs) 1st shift; 4x10 (Mon-Thurs) 2nd shift; 3x10 schedule (Fri, Sat, Sun) 2nd shift; 3x10 schedule (Fri, Sat, Sun) 1st shift.
+ Ability to work in an outside environment at various times of year.
+ Able to travel ~25% of the time.
**Medical Requirements:**
+ Must be able to perform moderate strenuous physical and repetitious work to include: bending, stooping, kneeling, lifting up to 25 pounds, and working overhead.
+ Must be able to access small, confined spaces and work in an enclosed environment.
+ Ability to pass medical/respiratory evaluation in order to obtain and maintain necessary respirator clearance and compliance with all respirator fitting requirements.
+ Must be able to pass a New Hire Physical prior to start.
**Preferred Qualifications:**
+ Experience working on the Global Hawk or Triton program, supporting aircraft ground operations, maintenance and/or modifications.
+ Experience reading and using engineering drawings and data in performance of duties.
+ Experience with composite material repair.
+ Excellent written and verbal communication skills.
+ IPC or similar certification a plus.
+ Active DoD Secret Clearance.
**Salary Range:** $38,600 - $64,300
**Salary Range 2:** $45,800 - $76,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-LA-Lake Charles) P-3 SCA Aircraft Mechanic 3 - Systems2024-03-16T06:15:41-04:00https://northropgrumman.jobs/A22408C7A875480781F6C9AEE24E0FD126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Are you ready to put your experience to work at Northrop Grumman? If so, we are seeking experienced personnel with P-3 aircraft background to join our Team as SCA Aircraft Systems Mechanic and support a maintenance program with Customs and Border Protection (CBP) in Lake Charles, LA.**
****This is a Service Contract Act Position.**
**The CBP P-3 fleet consists of Airborne Early Warning (AEW) and Long Range Tracker (LRT) high-endurance, all-weather, tactical turbo-prop aircraft. The AEW aircraft are utilized primarily for long-range patrols along the entire U.S. border, and in source and transit zone countries. The LRT aircraft are used to intercept, track airborne and surface threats in source and transit zone countries. The P-3 aircraft are equipped with state-of-the-art, highly sophisticated sensor equipment, i.e., radar, electro-optical and infrared (EO/IR) detecting systems.**
**Your duties will include:**
**Troubleshoots, repairs and services aircraft and engine systems.**
**Conducts diagnosis of malfunctions.**
**Performs maintenance, disassembly, rework, repair, replacement, re-assembly or adjustment of various aircraft systems in accordance with technical specifications, engineering instructions, and FAA regulations.**
**Maintains FAA required records, manuals and inspection forms.**
**Basic Qualifications:**
**1. High School diploma or equivalent and an additional 4 years of related experience.**
**2. Ability to be subject to a variety of physical conditions.**
**3. Able to wear respirator or other safety gear.**
**4. Ability to be subject to areas which could cause various phobias due to height or closed spaces. Ability to relay and**
**receive information.**
**5. Able to perform work in an approved environment during specified work hours, to change physical locations based**
**upon need, to be subject to both external and internal environmental conditions.**
**6. Ability to successfully complete a DHS CBP SS Background Investigation**
**Preferred Qualifications:**
**1. P3 or similar aircraft experience**
**2. Military aviation specific experience**
**3. Airframe and/or powerplant license**
**4. A current Secret Clearance.**
**Salary Range:** $35 - $39
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Unknown) Manager Policies Procedures 22024-03-16T06:15:39-04:00https://northropgrumman.jobs/01699CB9C5784360AD6426225D6A8ED826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a motivated, courageous leader with a continuous improvement mindset to manage a highly experienced team. Full time telework may be considered for this role from any CONUS location.
The selected candidate will be responsible for the governance of sector principles and operating practices. In addition, this individual will ensure effective management of personnel and resources, including the identification of process improvement opportunities to drive operational efficiency and enhanced process rigor. Foster a culture of growth, harmonization, development, strategic thought processes, and collaboration.
Responsible for providing oversight and direction for all aspects of sector Principles and Operating Practices (PrOP) including but not limited to:
+ Provide the sector with a well-defined system of internal principles and operating practices to govern and regulate business operations and to ensure compliance with legal, contractual, company, and sector requirements and other external/international standards.
+ Define PrOP system and user requirements; evaluate and implement application system and technology solutions; monitor and control system configuration and design change control process to ensure system integrity.
+ Control, and ensure currency of, documented information (documents, metadata, and associated process records.)
+ Advise/consult on new process development.
+ Advocate and foster implementation and advancement of uniform standards and protocols for process and document consistency.
+ Promote cross-sector/-functional coordination to support and drive harmonization and improvement of processes/documents and other enterprise/sector initiatives.
+ Process and document content analysis, editing/formatting, version control.
+ Will manage and administer the Principles and Operating Practices System and websites, including design; development; configuration control; system integrity; development and maintenance of templates, guidance and checklists for documents; development and administration of system metrics.
+ Provide training, guidance, and information/tools, as needed.
+ Provide oversight and control of document change control; administer the approval and tracking process.
+ Maintain archives of documents and supporting process artifacts.
+ Communicate changes and updates to documents.
+ Promote cross-functional coordination to support intra-sector harmonization of documents to the fullest extent practical.
+ External and global interfaces - U.S. Govt customer (DCMA, DCAA), registrars, non-US NG PrOP focal points/others, Technology suppliers (e.g., Microsoft)
+ Enterprise - represent sector and the interests and needs of both sector and enterprise in development of sector and/or enterprise processes and controls.
**Basic Qualifications:**
+ Bachelor's degree and 9+ years of relevant experience, or Master's degree and 7+ years of relevant experience
+ Experience leading teams or projects.
+ Experience with interpretation of procedures.
+ Proficient in Microsoft Office Suite (Word, Excel, PowerPoint)
**Preferred Qualifications:**
+ Excellent interpersonal and communication (written and verbal) skills.
+ Familiar with Agile/SAFE/Six Sigma methodologies
+ 2+ years of leadership experience
+ Knowledge of the government regulations FAR and DFARS.
+ Excellent problem solving and organizational skills.
+ Previous experience developing tools, processes and procedures.
+ Previous experience leading a training organization.
+ Previous experience leading cross functional teams
**Salary Range:** $103,700 - $155,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Commerce) Maintenance Technician 1/22024-03-16T06:15:39-04:00https://northropgrumman.jobs/7CEF590CFB534AC2960A3277D2BD36CE26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
There is currently an opening for a **Maintenance Technician 1 and Maintenance Technician 2** to join our team of qualified, diverse individuals. The selected candidate will report to the Maintenance Manager and will support repairs and modifications. This position falls under one of Northrop Grumman's Propulsions group **.** and will be located onsite in **Commerce, CA**
**Essential Functions:**
Performs repairs and maintenance in plant or office facilities, or on machines and equipment. Typically performs work in one or more of the maintenance trades: carpentry, plumbing, painting, machine and equipment repair, electrical, sheet metal fabrication, and welding. Conducts routine, periodic, or special inspections to determine repair and maintenance work necessary to prevent breakdowns of facilities, machinery, and equipment. Uses hand and power tools. Tests, inspects, troubleshoots, and repairs machines and equipment. Uses blueprints, sketches, layouts, wiring diagrams, drawings, and specifications.
This requisition may be filled at a higher grade based on qualifications listed below.
**Basic Qualifications: Maintenance Technician 1**
• High School Diploma with minimal experience working as a maintenance technician.
• Some understanding of vacuum, electrical, hydraulic and other systems.
• Knowledge of general maintenance processes and methods.
• Working knowledge of tools, common appliances and devices.
• Electrical skills.
• Candidate must be able to work a flexible shift.
**Basic Qualifications: Maintenance Technician 2**
• High School Diploma and a minimum 2 years' experience working as a maintenance technician.
• Basic understanding of vacuum, electrical, hydraulic and other systems.
• Knowledge of general maintenance processes and methods.
• Working knowledge of tools, common appliances and devices.
• Manual dexterity and problem-solving skills.
• Strong electrical skills.
• Familiarity with PLC's/HMI's.
• Candidate must be able to work a flexible shift.
**Preferred Qualifications:**
• An AA degree in mechanical, electrical or industrial maintenance.
**Salary Range:** $41,000 - $68,300
**Salary Range 2:** $48,600 - $81,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(AUS-Exmouth) DARC Operations and Maintenance2024-03-16T06:15:39-04:00https://northropgrumman.jobs/FF2B85D4531F4C039B6B77322C1524E626We are Northrop Grumman Australia. Part of an 85,000 strong global Defence organisation, over 800 talented employees in Australia are leveraging our global resources to deliver a superior Australian Defence capability. As we build our business and our capabilities, we are also building a reputation as a great place to work. Help us define what is possible for our nation, and for your career.
DARC is a global network of three next-generation ground sensors, based in the United States, the United Kingdom, and Australia. Together, they will deliver a unique 24/7 all-weather capability, tracking objects in geosynchronous orbit - a testament to Northrop Grumman's commitment to enhancing security and safety in deep space on a global scale.
We are now excited to be seeking Expressions of Interest for those keen to help us define possible by joining the DARC Program team based in **Exmouth, Western Australia.**
**These exciting opportunities would require relocation to Exmouth, Western Australia and come with comprehensive relocation assistance and attractive remote working allowances to support your transition. Some roles may involve a 7 day on, 7 day off 12 hours shift roster.**
Possible opportunities may include:
+ Operations Managers
+ Operations Site Lead
+ Maintenance Schedulers
+ Maintenance Operations Technicians (Diesel Mechanical and/or Electrical)
+ Skilled trades HVAC/Electrical/Mechanical
**What we Offer:**
Whatever your role, life stage or background, you can shape your career here in your way with highly challenging work, great colleagues and career development, plus a range of flexible benefits and working patterns.
+ Professional Development - further education, leadership development, professional industry memberships and unlimited access to a range of online training
+ Purchased annual leave
+ Salary packaging including novated car leases
+ Generous paid parental leave
+ Volunteer Leave
+ Health & Wellbeing program
+ Employee Assistance Program
**Everyone Matters:**
Doing the Right Thing and Sharing Success are two values underpinning how we behave at Northrop Grumman. Here, everyone matters and we proudly encourage individuals from all backgrounds and all abilities to apply to join our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.
As a Defence security clearance is required for this role, you must be an Australian Citizen. International Traffic in Arms Regulations (ITAR) are applicable, as such your nationality may be a factor in determining your suitability for this role. You will also need to satisfy police checks and employment screening verification.
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.(USA-MD-Annapolis Junction) Staff Cyber Software Test Engineer2024-03-16T06:15:38-04:00https://northropgrumman.jobs/FCD1BCEF7EAD46B6A04B20232CAF64FA26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators, who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we will support yours of expanding your personal network and developing skills, whether you are new to the field or an industry thought-leader. At Northrop Grumman, you will have the resources, support, and team to do some of the best work of your career.
Northrop Grumman's Sensing & Secure Solutions Operating Unit is actively seeking a talented Test Automation expert to join a Northrop Grumman primed program. This position's work location is in Annapolis Junction Maryland and is part of the Mission Systems Sector within Northrop Grumman.
Designs, develops, documents, tests and debugs applications software and systems that contain logical and mathematical solutions. Conducts multidisciplinary research and collaborates with equipment designers and/or hardware engineers in the planning, design, development, and utilization of electronic data processing systems for product and commercial software. Determines computer user needs; analyzes system capabilities to resolve problems on program intent, output requirements, input data acquisition, programming techniques and controls; prepares operating instructions; designs and develops compilers and assemblers, utility programs, and operating systems. Ensures software standards are met.
Job Description
• Work in a team environment utilizing Agile process to automate test procedures
• Develop and execute test procedures to ensure all acceptance criteria are met and the software quality conforms to established policy and standards.
• Perform regression testing as required.
• Develop and implement test plans and procedures using the testing tools.
• Document test results and issues.
• Provides support to Independent, Validation, & Verification (IV & V) test team during acceptance testing, including test procedure walkthroughs, and answering questions on software functionality.
• Participates in the agile ceremonies and interface with the agile team(s) and other program staff as required.
• Improve our continuous delivery pipeline, ensuring that we can roll out frequent, robust releases
• Responsible for the design, development, and support of test cases for functional and non-functional domains, solving the most complex escalated issues
• Supports the execution of test reports and reviews and acts on recommendations for product or design changes
• Manages the evaluation, recommendation, and implementation of testing procedures and strategies for products, systems, components or modifications, ensuring alignment to functional / non-functional requirements
Basic Qualifications
• An active TS/SCI Full-scope Clearance with poly through MD customer is required to start
• BS + 14 years of exp; MS + 12 years of exp; PhD + 9 years of exp is required.
• Experience writing Python scripts
• Experience working System Test, SW Development and/or Systems Engineering
• Familiarity with CI/CD principles
• Experience with Windows/Linux operating systems & Virtual Machines (VMs)
• Expert level understanding of the software development lifecycle and agile development methodology
Preferred Qualifications
• Experience with Atlassian tool suite (JIRA, Git, Confluence)
• Experience using software test automation tools/frameworks (Selenium)
• Experience with Java, C++, or Python
• Experience using UI automation software (Selenium)
**Salary Range:** $149,900 - $224,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Melbourne) TEMPEST CTP-I/CTP-2 Engineer (Melbourne FL)2024-03-16T06:15:38-04:00https://northropgrumman.jobs/9E74511DBBC0455BB8EAAEF06F4F4D7026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **TEMPEST CTP-I/CTP-2 Engineer** to join our team of qualified, diverse individuals. This position is located in **Melbourne, Florida.**
This position is for an electrical engineer experienced in design for TEMPEST and EMI/EMC compliance. The selected candidate will be expected to lead efforts within the Electromagnetic Environmental Effects (E3) team in the areas of TEMPEST, EMI/EMC design, and test. Technical areas include Aeronautical Systems and associated Ground Control Systems.
Job duties may include:
•Perform system level decomposition of TEMPEST or EMI/EMC requirements
•Develop TEMPEST or EMI/EMC design requirements at sub-system level
•Provide support to the Design review process
•Support hardware design engineering to ensure compliance with TEMPEST and EMI/EMC requirements
•Contribute to the development of the TEMPEST Control Plan
•Contribute to the development of the Electromagnetic Environmental Effects (E3) Integration and Analysis Report (E3IAR), E3 Verification
Procedures and Reports
•Assist authoring documentation in support of participating in Certified TEMPEST Test Services Program (CTTSP).
•Author TEMPEST test plans, test procedures, and test reports
•Prepare and Perform TEMPEST Testing in accordance with NSTISSAM TEMPEST/1-92, CNSSAM TEMPEST/01-02
•Mentor TEMPEST trainees in support of Certification
We offer flexible work arrangements, a 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
This position is contingent on obtainment of DoD Secret Security Clearance prior to start.
Basic Qualifications:
• A Bachelor of Science degree and 15 years of experience or in lieu of a degree 19 years of experience can be considered.
• Certified TEMPEST Professional Level II or be a Level I with the ability to achieve a Level II certification
• Experience with design for TEMPEST and EMI/EMC compliance and system level integration (bonding, grounding, shielding, filtering, transient suppression)
• Experience working with TEMPEST and EMI/EMC Requirements
• Familiarity with TEMPEST standards including NSTISSAM TEMPEST/1-92, CNSSAM TEMPEST 01-02, and related NSA technical memorandums
• Familiarity with TEMPEST test equipment such as, TEMPEST receivers, oscilloscopes, dynamic signal analyzers, signal generators, etc.
• Must have the ability to obtain and maintain a DoD Secret clearance
• Must have the ability to obtain and maintain Special Access Program (SAP) clearance.
Preferred Qualifications:
•Prior component-level, System level TEMPEST experience (including air vehicle, facilities/ground station)
•Master's degree in electrical engineering, Physics or Systems Engineering
•Expert of systems engineering principles and processes
•Experience with managing/scheduling facilities and equipment
•Experience in the technical oversight and reporting of E3 programs
•Experience in showing compliance to TEMPEST or EMI/EMC requirements processes for equipment and sub-systems
•Experience with equipment, sub-system and system level EMI/EMC test (Expertise in MIL-STD 461, automotive or commercial EMI/EMC testing)
•Technical ability to develop, document, and maintain tailored TEMPEST or EMI/EMC requirements at equipment, sub-system, and system levels
•Technical ability to perform TEMPEST or EMI/EMC design and analysis at both system and hardware levels
•Experience leading TEMPEST or EMI efforts with integrated product teams (IPTs)
•Experience testing in accordance with NSTISSAM TEMPEST/1-92, CNSSAM TEMPEST 01-02
•A current DoD Secret clearance or higher granted or re-investigated within last 6 years
•Candidate must be able to work in a team environment and collaborate across programs and shifts
•Candidate must be able to work independently, communicate clearly, and effectively with employees and customers
**Salary Range:** $129,500 - $194,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Sr Principal Enterprise Services IT Program Manager2024-03-16T06:15:37-04:00https://northropgrumman.jobs/7DB31219BE0C4FC9909F8AFCA09F591626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Job Description
Northrop Grumman's Chief Information Office (CIO) organization is currently seeking an Enterprise Services Program Manager to join our team in Roy, UT. This role is responsible and accountable for supporting CIO strategy and continual improvement activities related to Northrop Grumman business conducted by the Strategic Deterrent Systems Division in Northern Utah and other locations throughout the United States. The position will be located on the Roy Innovation Center Campus in Roy UT, just outside the north gate of Hill AFB.
Responsibilities include:
• Oversee process improvement and quality improvement initiatives, including developing, implementing, and maintaining monthly Chief Information Office continual improvement goals.
• Coordinate and work across a large matrixed team and diverse set of stakeholders in support of the Division's shared CIO statement of work. Specifically managing a number of continual improvement efforts.
• Collaborate with cross-functional teams to develop data-driven solutions to improve the quality of CIO work completed.
• Effectively interact with members of the CIO, classified solutions, mission assurance and business leadership teams to optimize strategies to support goals and objectives, including managing budget and costs.
• Coordinate IT issues and projects as required with the Division/Programs.
• Aide in the development and execution of customer satisfaction improvement/maintenance plans.
**Basic Qualifications:**
+ Excellent organizational, verbal, and written communications skills.
+ ITIL Continual Improvement experience.
+ Candidates must have an Active DOD Secret security clearance in order to be considered.
+ **Basic Qualifications Level 4** : Bachelors Degree and 9 years of related experience
**Preferred Qualifications:**
+ Prior Northrop Grumman Sector-specific experience.
+ Broad background in ITSM processes, IT Infrastructure, business applications, and operations
**Salary Range:** $114,900 - $172,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(AUS-Exmouth) Maintenance Operations2024-03-16T06:15:35-04:00https://northropgrumman.jobs/CC37B2F002644EF4A372876609D9335E26We are Northrop Grumman Australia. Part of an 85,000 strong global Defence organisation, over 800 talented employees in Australia are leveraging our global resources to deliver a superior Australian Defence capability. As we build our business and our capabilities, we are also building a reputation as a great place to work. Help us define what is possible for our nation, and for your career.
DARC is a global network of three next-generation ground sensors, based in the United States, the United Kingdom, and Australia. Together, they will deliver a unique 24/7 all-weather capability, tracking objects in geosynchronous orbit - a testament to Northrop Grumman's commitment to enhancing security and safety in deep space on a global scale.
We are now excited to be seeking Expressions of Interest for those keen to help us define possible by joining the DARC Program team based in **Exmouth, Western Australia.**
**These exciting opportunities would require relocation to Exmouth, Western Australia and come with comprehensive relocation assistance and attractive remote working allowances to support your transition. Some roles may involve a 7 day on, 7 day off 12 hours shift roster.**
Possible opportunities may include:
+ Operations Managers
+ Operations Site Lead
+ Maintenance Schedulers
+ Maintenance Operations Technicians (Diesel Mechanical and/or Electrical)
+ Skilled trades HVAC/Electrical/Mechanical
**What we Offer:**
Whatever your role, life stage or background, you can shape your career here in your way with highly challenging work, great colleagues and career development, plus a range of flexible benefits and working patterns.
+ Professional Development - further education, leadership development, professional industry memberships and unlimited access to a range of online training
+ Purchased annual leave
+ Salary packaging including novated car leases
+ Generous paid parental leave
+ Volunteer Leave
+ Health & Wellbeing program
+ Employee Assistance Program
**Everyone Matters:**
Doing the Right Thing and Sharing Success are two values underpinning how we behave at Northrop Grumman. Here, everyone matters and we proudly encourage individuals from all backgrounds and all abilities to apply to join our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.
As a Defence security clearance is required for this role, you must be an Australian Citizen. International Traffic in Arms Regulations (ITAR) are applicable, as such your nationality may be a factor in determining your suitability for this role. You will also need to satisfy police checks and employment screening verification.
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.(USA-TX-Irving) Business Process Analyst2024-03-16T06:15:35-04:00https://northropgrumman.jobs/FBB3E154F53748389B38A4BBEFF5E0DD26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The HR Service Center Business Process Analyst plays an integral role in the Northrop Grumman HR Service Center, analyzing knowledge management content within ServiceNow to increase effectiveness of the articles content to answer questions from customers. The incumbent will work directly with the HR Service Center teams to review resolved escalations to identify the root cause of missed self-service opportunities for employee and manager. Evaluate current and develop new knowledge article content to eliminate future escalations or misdirection's caused by lack of article content while keeping in mind the goal of what steps and actions need to be taken to reduce the call volume and drive self-service. The Business Process Analyst serves as a consultant and initiator of actions and tasks to update article content in all areas the HR Service Center supports which includes HR, Payroll, Managers assistance, Learning Management, Business Travel, and contractor support. The Business Process Analyst also represents the HR Service Center on various Enterprise-wide initiatives, helping to drive operational efficiency and enhanced user experience by ensuring the knowledge management system and complementary applications drive employee and manager self-sufficiency to reduce call volume to the HR Service Center. This role requires tact, persuasion and/or negotiation skills to yield an optimum resolution for all key stakeholders in a timely and efficient manner. Incumbent must possess strong interpersonal and communication skills both verbal and written; be able to demonstrate objectivity and be results driven; be able to manage multiple priorities and show initiative and creativity; be able to anticipate problems, deal with difficult situations and communicate appropriately with all levels of customers; be a self-starter and self-directed.
**This position is located in Irving, TX with the opportunity for hybrid telework. Teleworking arrangements are subject to change based on the needs of the business.**
**Job Responsibilities:**
+ Manage regular reviews with various SMEs to maintain accuracy and conciseness of articles and documents.
+ Participate on cross-functional projects or initiatives collaborating with subject matter experts (SMEs) to develop relevant knowledge articles associated with services supported by the HR Service Center.
+ Continuously monitor/review knowledge articles and apply improvements or updates with content owners.
+ Write or revise focused knowledge articles using the appropriate templates, standards, and guidelines.
+ Collaborate with subject matter experts ensuring content is simple, thorough, and accurate.
+ Proofread and edit other writers' content and document for consistency, translating content into customer centric and easy to understand language.
+ Keep knowledge articles and other internal content accurate and up to date, proactively assessing content to make improvements.
+ Serve as auditor for resolved, poorly resolved or escalated cases to determine if the knowledge articles need to be updated to allow correct information to be delivered to managers or employees.
+ Continually test Edison AI and the Employee Service Portal to enhance and build the artificial intelligence comprehension capabilities to ensure accurate delivery of relevant information to employees and managers.
+ Monitor cases to ensure timely resolution and customer satisfaction.
+ Assess HR Service Center contact volume and other performance trends to determine gaps in knowledge management content and accessibility for customers or HR Service Center agent to quickly access answers to questions.
+ Work with key stakeholders internal and external to HRSC to obtain information, troubleshoot issues, provide feedback, and otherwise ensure HRSC is fully equipped to support associated service areas and topics with clearly written knowledge Management Articles.
+ Establish and maintain relationships with various HR Centers of Excellence, vendors, and other internal stakeholders, improving partnerships within applicable organizational area and enhancing the customers experience with the HRSC.
+ Identify opportunities for improvement, training gaps, and other factors impacting HR Service Center agents' ability to respond to inquiries accurately via use of Knowledge Management tools.
+ Identify opportunities for customers to use and enhance self-service to reduce call and Chat volume and find answers quickly while searching the Knowledge management articles.
+ Develop solutions to a variety of problems of moderate scope and complexity.
+ Serve as owner of assigned knowledgebase articles and process documentation, ensuring timely and regular updates to help drive service performance.
+ Provide feedback to internal HR Service center resources in effort to address service inquires, expand knowledge, and / or improvement opportunities.
+ Monitor customer satisfaction survey feedback - handle customer follow-up, assess trends, and work with appropriate resources to address opportunities for article improvement.
+ Contribute to completion of organizational projects and goals as means of driving operational efficiency and customer satisfaction.
+ Serves as point of contact with the HR Service center when knowledge articles are owned by the HR Centers of Excellence and the HR Service Center responds to those inquires.
+ Communicate with leaders, peers, HR practitioners, HR Service Center employee's and others to enable consistent and timely flow of relevant information.
**Basic Qualifications:**
+ Bachelor's degree with minimum of 3 years of HR Service Center experience OR Master's Degree with a minimum of 1 year of HR Service Center experience
+ Experience with Service Center Technologies, Workday, Interactive Voice Response, ServiceNow HR case management and knowledge management.
+ Familiarity in the use and testing of chatbots.
+ Experience applying concepts, and principles to resolve inquiries / solve business problems.
+ Experience applying advanced policy interpretation to help develop knowledge article solutions or determine appropriate handling.
+ Experience making independent decisions regarding moderate to complex problems.
+ Experience in a highly service-oriented/customer obsessed role.
+ Experience working independently with minimal supervision.
+ Ability to manage and complete projects which encompass change management, communications, and implementation plans.
**Preferred Qualifications:**
+ Advanced knowledge and use of MS Office products - Word, Excel, Visio, etc.
+ Knowledge of Northrop Grumman HR Service Center practices, technology, and standards.
**Salary Range:** $75,700 - $113,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Melbourne) Principal Project Management2024-03-16T06:15:34-04:00https://northropgrumman.jobs/0AD651918B2B40999AB16AE1FEFCD42226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Principal** **P** **roject Manager** to support our Industrial Engineering Operations Management group (IEOM). This candidate will join our team of qualified, diverse individuals in **Melbourne, FL** .
The Industrial Engineering and Operations Management Team is an integral part of day-to-day operations and routinely works alongside many of the other organizations here in Melbourne. This is a team that comes together to learn, collaborate, and overcome challenges in a fast-paced environment. We are looking for applicants that enjoy working with and learning from their peers and are not afraid to jump in and make a difference!
**This is an individual contributor role, a non-manager role with no direct reports.**
**Please note:** A start date, for candidates selected, will be determined **after** obtaining both a DOD Secret Clearance and Special Program Access and is contingent upon Business/Customer funding.
**Roles and Responsibilities**
+ Continuous improvement Experience
+ Working closely with functional/Program manager to provide direction to maintain production support.
+ Maintain and develop cost and schedule analysis charts to help support managerial direction.
+ Provide guidance and statement of work to shop floor technicians.
+ Ordering of materials and goods to ensure the on-time delivery of our product.
+ Report status of work to respective customers.
+ Assess the effectiveness and accuracy of data sources and data gathering techniques
+ Coordinate with different functional teams to implement solutions and monitor outcomes
+ Analyze multiple data sources in detail to identify trends and patterns using engineering fundamentals.
We offer flexible work arrangements, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
**Basic Qualifications:**
+ Bachelors degree and 6 Years experience in Global Supply Chain, Project Management, Program Integration, Business Management, and/or program control experience or Masters Degree with 4 Year experience in Global Supply Chain, Project Management, Program Integration, Business Management, and/or program control experience
+ Experience with Microsoft Office tool suite; the ability to create, manage, and manipulate the tools.
+ Knowledge of Project Management principles and experience utilizing them professionally.
+ Experience in analyzing large quantities of data to determine KPIs/performance metric reporting and analysis.
+ **ACTIVE U.S. Department of Defense (DoD) Secret security clearance awarded within the last 6 years**
+ Able to obtain and maintain a Special Program Access in reasonable amount of time as required by the business.
**Preferred Qualifications:**
+ Defense Industry Experience.
+ Active Special Program Access.
+ Active Secret DoD Clearance.
+ Experience in or knowledge of a manufacturing environment.
+ 3 year of demonstrated experience leading project teams.
+ Experience interfacing with suppliers and leadership.
+ Experience with schedule creation and briefing.
**Salary Range:** $76,600 - $115,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Security Coordinator 42024-03-16T06:15:34-04:00https://northropgrumman.jobs/0F47CBB3E0724017A24EED04E917B17326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is seeking a **Security Coordinator 4** for our **Roy, UT** location. This position will support the Sentinel program and the Strategic Deterrent Systems Division. The selected candidate will support a team of other security professionals.
The responsibilities will include but will not necessarily be limited to the following
+ Supporting fast-paced, high-profile programs, proposals, and activities.
+ Creating, maintaining, and leveraging working relationships with internal and external customers.
+ Developing and administering security programs and procedures for classified or proprietary materials, documents, and equipment.
+ Studying and implementing federal security regulations that apply to company operations.
+ Obtaining rulings, interpretations, and acceptable deviations for compliance with regulations from government agencies.
+ Preparing manuals outlining regulations and establishing procedures for handling, storing, and keeping records, and for granting personnel and visitor's access to restricted records and materials.
+ Conducting security education classes and related briefings.
+ Investigating security violations and preparing reports specifying preventive action to be taken.
**Basic Qualifications:**
+ Candidate must have and be able to maintain, an active U.S. Government final security clearance at the **Secret** level with a background investigation dated within the last 6 years or be enrolled in the DoD trusted workforce continuous evaluation program.
+ Candidate must be able to obtain and maintain access to a special access program.
+ High School diploma or equivalent, and 6 years of additional education and/or administrative or security-related experience.
+ Candidate must be organized and efficient at time management.
+ Candidate must have experience with computer and desktop Microsoft office software products (Word, Excel, etc.).
+ Excellent customer service and communication skills.
+ Ability to maintain flexibility to deal with changing priorities and deadlines.
+ Strong working knowledge of basic office automation tool suites such as MS Office (Word, Excel, PowerPoint).
**Preferred Qualifications:**
+ Working knowledge of ESIS-SIMS.
+ Working knowledge of DoD 5205.07 SAP Manuals, Volumes 1-4, and the NISPOM.
+ Experience processing Special Access Program (SAP) access paperwork (i.e. Personnel Security Questionnaires, Program Access Requests, etc.).
+ Working knowledge of ICD-705 construction requirements.
+ Working knowledge of the DISS and JADE databases.
+ Understanding and knowledge of government regulations, corporate security policies and guidelines.
+ Must have the ability to prioritize and multi-task with minimal supervision.
**Salary Range:** $50,500 - $84,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Principal Mass Properties Engineer2024-03-16T06:15:34-04:00https://northropgrumman.jobs/A6D4630FFE9240F099B635E8C091558026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Principal Mass Properties Engineer** to join our Vehicle Engineering organization in Palmdale, CA!
This position will be 9/80 1st shift.
Duties for this position include:
+ Developing and implementing a Mass Properties Management and Control Program
+ Estimating and optimizing of mass properties to achieve vehicle performance and fulfill weight based program metrics
+ Establishing specification and design target weights
+ Maintaining accounting and reporting systems to inform the customer and management of current and projected mass properties trends
+ Participating as a presenter or reviewer in technical and program reviews
+ Providing mass properties data for cost analyses and vehicle design and analysis
+ Evaluating subcontractor proposals, interacting with and overseeing subcontractor mass properties management and control
+ Reviewing and approving drawings to achieve mass properties management and control.
+ Measuring vehicle and/or component mass properties
+ Providing operational flight manual mass properties data
**Basic Qualifications**
+ Bachelor's degree in a Science, Technology, Engineering or Mathematics (STEM) discipline with a minimum of 5 years' related engineering experience; OR a Master's Degree in a STEM discipline with a minimum of 3 years' related engineering experience; OR a PhD in a STEM discipline with 0 years of related engineering experience.
+ Proficiency with MS Office software (Excel, Work, PowerPoint)
+ Active DoD Secret (or higher) clearance (with a background investigation within the past 6 years OR enrolled into Continuous Evaluation)
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance prior to start. This SAP must be obtained within a reasonable amount of time as determined by the company to meet its business needs.
**Preferred Qualifications**
+ Demonstrate strong people, organizational, presentation, and communication skills.
+ Self-starter, willing to take initiative, be proactive, and require minimal direction.
+ Experience in vehicle design and manufacturing techniques
+ Siemens NX proficient
+ Aircraft Weight and Balance Certification
+ Automated Weight and Balance System (AWBS) experience
**Salary Range:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) U105 Sensors & Systems Technician (Electronics Technician)2024-03-16T06:15:34-04:00https://northropgrumman.jobs/D546F91EA60B4130AF32C5746B73937526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking Sensors and Systems Technicians to join its Manufacturing Organization. This position is located in **Linthicum, Maryland.**
The Sensors and Systems Technician will perform a variety of simple to complex tasks involving testing, operating, trouble-shooting calibrating, constructing, repairing and assembly over a broad spectrum of technologies, utilizing manual and automatic equipment, Utilize and create repair techniques, assembly techniques and procedures. Other tasks to be performed include: collecting, recording, analyzing and evaluating data and recommending changes in processing operations and equipment as appropriate.
+ Must have extensive knowledge of electronics principles.
+ A high degree of skill in fabrication and test of complex components, assemblies, devices, circuits and systems.
+ Be capable of readily adapting to changing assignments and requirements.
+ Be capable of meeting 09 solder certification and successfully passing pre-employment skills screening.
+ Must be able to obtain and maintain a required Security Clearance.
**This is an IBEW union represented position.**
**Basic Qualifications:**
+ High School Diploma/GED.
+ Graduate of a two year, full time electronic technology school OR six months military electronics school plus two years working in the maintenance and repair of electro-mechanical equipment.
**Experience Requirement:**
+ Must have extensive knowledge of electronics principles.
+ A high degree of skill in fabrication and test of complex components, assemblies, devices, circuits and systems.
+ Be capable of readily adapting to changing assignments and requirements.
+ Be capable of meeting 09 solder certification and successfully passing pre-employment skills screening.
+ Must be able to obtain and maintain a Secret clearance.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Annapolis Junction) Property and Asset Management Analyst2024-03-16T06:15:34-04:00https://northropgrumman.jobs/DC6D55066E824A178D5052E21B69D1FC26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Property And Asset Management Analyst A02 Role.**
**Job Description:**
Oversee, manage, and analyze activities associated with asset business systems to ensure customer and US Government property both on site and at subcontractors are managed in accordance with applicable regulations and policies to achieve best value and operational excellence. Maintains property accountability records, determine condition, and arranges disposal of surplus or obsolete material or equipment. Conduct internal and external property assessments to analyze results for financial and operational purposes; provide guidance on contracts and regulations pertaining to property life-cycle management. Responsible for development, recommendation, and implementation property management policy.
**This is a full-time onsite position on a 9/80 schedule".**
**Roles and responsibilities for Level A02**
+ Review and update property records in property database, ensuring accurate and up-to-date information.
+ Knowledge and understanding of property acquisitions, the processing of incoming and outgoing shipping documentation.
+ Prepare periodic and special reports on property and material review, physical inventory planning, contract annual government property reports (AGPR), PIEE GFP module status, and other reports, as needed.
+ Coordinate and conduct property surveillance reviews and annual physical inventories at supplier and subcontractor sites. Collaborate with Buyers, Subcontract Administrators, and Program and Functional area PFPs (Property Focal Points) to ensure accountability of assets is maintained.
+ Engage in high level of interaction with both internal and external customers, and government regulatory agencies.
+ Lead various Property Management System Analysis (PMSA), Contractor Self-Assessment (CSA) reviews, Mission Assurance/Quality audits, and AS9100 audits.
+ Assist program management in the planning and execution of property management life cycle.
+ Assist and provide guidance to other team members, as necessary.
+ Maintain property accountability records, determine condition of assets, arrange disposal of surplus/obsolete material.
+ Occasional irregular hours and travel may be required for the role.
**Basic Qualifications for Level 2:**
+ Bachelor's degree from an accredited institution with 3+ years of professional experience in business management, finance, accounting, or related fields or 0 years of experience with a Master's degree in business management, finance, accounting, or a related field from an accredited institution.
+ Will take 5 to 7 years of relevant experience in lieu of degree.
+ Computer proficiency level using Microsoft Office Suite (Word, Excel, & PowerPoint).
+ US citizenship is required.
+ Ability to obtain and maintain a DoD security clearance.
**Preferred Qualifications for Level 2**
+ Bachelor's degree (Major in business, logistics, or supply chain) with 3 years of experience
+ 1ERP/SAP experience Familiarity with Automated Property Management
+ Combined experience in property management, material/equipment control, inventory, requisitioning/purchasing, logistics, and management experience.
+ Strong organizational, time management experience.
+ Demonstrate ability to create, monitor and report on all property operations metrics.
+ Experience supporting U.S. government customers & contracts, FAR/DFAR.
+ Internal and external audit experience.
+ Strong quality assurance/control experience.
**Salary Range:** $65,600 - $98,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-IL-Rolling Meadows) Staff Systems Engineer- RF SEIT Lead2024-03-16T06:15:33-04:00https://northropgrumman.jobs/37722A884C464D91AAEC2E7078E37ADB26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is a trusted provider of mission-enabling solutions for global security. Our Engineering and Sciences (E&S) organization pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
We are looking for you to join our team as a **RF SEIT - Staff Systems Engineer** based out of **Rolling Meadows, IL (** greater Chicago area **)** . As a Staff Systems Engineer at Northrop Grumman you will have a challenging and rewarding opportunity to be a part of our Enterprise-wide digital transformation.
**What You'll get to Do:**
Be part of a Systems Engineering team working on state-of-the-art Radio Frequency Electronic Warfare (RFEW) systems designed to protect the warfighter. Our team supports the **Emerging Capabilities Development (ECD)** programs within several areas including, Electronic Warfare Systems (EWS). **ECD** is tasked with driving business growth through the development and maturation of forward-leaning solutions and products. What that means in practice is we are developing the new capabilities and technologies the company needs to deliver innovative solutions to our customers; this is inclusive of Research and Development (R&D) efforts. In addition you will get to apply advanced technical principles, theories, and concepts to unusually complex technical problems and provide innovative solutions. You will take a high level customer objective and, through a logical and systematic process, turn it into product requirements and total system solutions that acknowledge technical, schedule, and cost constraints. This an opportunity for candidates who enjoy solving hard problems!
In this role you will own competitive programs, work with the program management office on strategic captures, and be responsible for guiding engineering execution to schedule and budget. In addition you may also:
+ Collaborate with functional managers to build the engineering teams for your programs
+ Be the primary technical interface to the Program Manager and customers and have an overall understanding of customer needs
+ Work with the engineering team to develop a solution, define an architecture, and perform all modeling and analysis needed to obtain a deep understanding of how the system architecture fulfills the mission level goals (this will require coordination across many disciplines to ensure that the system description and requirements are properly and thoroughly defined and captured)
+ Work closely with the Systems Engineering Lead to ensure programs complete on schedule and budget
Some of the engineering focus may include:
+ Computational electromagnetics, wave theory, RF propagation modeling, antenna design, and RF chain analysis
+ Turning low TRL concepts into reality through MATLAB implementation
+ Guiding embedded software, firmware, and FPGA implementation
**Basic Qualifications:**
+ PhD in a STEM field and 9 years of experience or MS degree in STEM field with 12+ years of related experience or BS degree in STEM field with 14+ years of related experience
+ Prior experience converting needs into testable system requirements
+ Experience with algorithm development and/or antenna modeling/RF chain analysis
+ Must have the ability to work in a cross functional team environment
+ Demonstrated proficiency in analytical thinking and problem-solving skills
+ U.S. citizen and must have an Active Secret or Top Secret Security Clearance and meet Enhanced Security Review criteria prior to start which may vary by program(s).
**Preferred Qualifications:**
+ Experience architecting and developing Electronic Warfare RF systems with real-time digital signal processing
+ Understanding of RF propagation modeling, computational electromagnetics, wave theory, antenna design, and RF chain analysis.
+ Experience turning concepts into reality through MATLAB implementation of new technologies
+ Experience working on Department of Defense contracts
+ Knowledge of C, C++, CUDA, VHDL, OpenCL, SysML, Python, or other scripting languages
+ Experience with Model Based Engineering (MBE) concepts and tools (Rhapsody)
+ Working knowledge of DOORS and ClearCase/ClearQuest
+ Recent experience creating integration and test, design verification test and flight test plans and procedures
+ Experience in an integration and test environment for complex electronic systems evaluation
+ Experience in development and management of cost accounts and schedules as related to Systems Engineering tasks
+ Recent experience creating integration and test, design verification test and flight test plans and procedures
+ Working knowledge of one of more of the following specialty areas for integration and test related to RF systems: sensors/antennas, transmitters/receivers, detection, and tracking
**Salary Range:** $157,500 - $236,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-San Diego) Assembler 22024-03-16T06:15:33-04:00https://northropgrumman.jobs/7EFFCEEED3114F52807792E9D6D99E6F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a dedicated Assembler to join our team in San Diego, CA.
The Assembler performs a variety of tasks ranging from repetitive to non-repetitive production assembly operations on electronic and/or mechanical assemblies and subassemblies such as modules, boards, panels, drawers, frames, and cables. Works from diagrams and drawings, makes initial layouts, and uses hand and/or power tools, jigs, and saws. Makes continuity checks on work in process and completed. May conduct quality inspections on processing line in accordance with quality specifications. May disassemble, modify, rework, reassemble, and test experimental or prototype assemblies and subassemblies according to specifications and under simulated conditions.
**Basic Qualifications:**
+ A High School Diploma and 2+ years of manufacturing experience
+ Basic soldering skills
+ Knowledge on mixing of chemicals (Paint, Epoxies)
+ Basic Computer Skills (Excel, Word, PowerPoint)
+ Able to work 6:00am to 3:30 pm 9/80 schedule
**Preferred Qualifications:**
+ J-STD
+ Active or ability to get Secret Clearance
**Salary Range:** $41,000 - $68,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Prncpl Intl Trd Cmplnce Anlyst2024-03-16T06:15:33-04:00https://northropgrumman.jobs/95069A461A0D413387F3574818C68D4D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Mission Systems Sector - **located in Linthicum, MD** - has an immediate need for an International Trade Compliance Analyst. This position can be worked from Linthicum, MD or remote/virtual.
**Roles and Responsibilities Include:**
- Responsible to administer and implement end-to-end import operational processes to assure trade compliance through performance of in-depth pre-entry and entry gate-keeping reviews
- Works with internal parties such as programs, contracts, buyers, receiving and international trade compliance as well as external parties including suppliers, customs brokers and logistics service providers to maintain and improve compliance, operational and process efficiencies, reducing potential for transportation delays and facilitating on-time deliveries in the global supply chain
- Provides guidance related to Customs requirements such as Customs valuation, material to vendor, country of origin, etc. and maintains the correct documentation to support declarations made to CBP and participating government agencies such as DOS, FDA, EPA and Census.
- Prepares and maintains complete and accurate documentation in accordance with all internal requirements, terms & conditions, and government regulations governing trade compliance
- Determines the best method of transportation globally, referring to documentation for destination, considering factors such as export/import authority, expense, delivery times, and user requirements. Contact carriers and interdepartmental personnel to discuss delivery issues, recommending rescheduling, alternate routines, alternate carriers and the like.
- Determines and verifies HTS & Schedule B classifications, rules of origin and other trade data such as eligibility for special duty programs under Military Duty Free (MDF) under 9808, Free Trade Agreements (FTA) of products and components to ensure correct and accurate declarations to Customs and other governmental authorities while maximizing duty savings potential.
- Conducts reviews and post-entry audit assessments (PO/Sales Order to Payment) and training as it relates to import and export activity, to ensure implementation and effectiveness of internal compliance controls. Interfaces with cross functional departments to manage requests for information missing at the time of import or export. Prepares and maintains post-summary corrections.
- Monitors and tracks shipments, reviews and maintains data in systems, dashboards, generates performance metrics for all related activity and provides updates and documentation to stakeholders
- Analyzes trends and develops corrective actions to improve compliance, operational speed and efficiency
- Develops and manages comprehensive internal export and import controls to ensure compliance with US and foreign regulations in the conduct of international marketing, sales, supply chain and other business activities.
- Assists on trade compliance counsel assessments and prepares and/or reviews documentation as needed with management.
- Establishes and maintains relations with appropriate government regulatory agencies.
- Supports Free Trade Zone (FTZ) activities including admissions, withdrawals and inventory controls to ensure compliance and timely processing of FTZ shipments.
The ideal candidate will be detail-oriented and highly organized with the ability to multi-task several projects at once.
**Basic Qualifications:**
+ Bachelor's Degree and 6+ years of relevant experience or a Master's degree with 4+ years of relevant experience; Will consider 10+ years of relevant experience in lieu of a Bachelor's degree
+ Experience in import compliance and operations, logistics or supply chain pertaining to Customs Brokerage, Trade Compliance, Import/Export regulations, Freight Forwarding
+ Ability to obtain a clearance (this requires US citizenship)
+ Must be willing and able to travel up to 25%
**Preferred Qualifications:**
+ Customs Brokers License
+ Experience in the Aerospace & Defense Industry
+ In-depth knowledge of US Customs Regulations and handling imports and/or exports in accordance with Government Regulations, including International Traffic in Arms Regulations (ITAR)
+ Customs brokerage / entry writer experience
+ 3+ years of experience in processing international transportation activities
+ Ability to understand global impact of regulatory decisions (assertiveness and diplomacy to achieve results)
+ FTZ experience
+ FTA experience
+ SAP S/4 experience
**Salary Range:** $80,600 - $127,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) U109 Semiconductor Equipment Technician C - 4th Shift2024-03-16T06:15:32-04:00https://northropgrumman.jobs/06181DF8CF19452897AC0EBAFC55AD5526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a Semi-Conductor Equipment Technician C to join its organization. This position is located in **Linthicum, Maryland.**
**Primary Function:**
Repair and align a variety of equipment used in the manufacturing and testing of semi-conductors.
Maintain in working order assembly & packaging, diffusion, mask making, wafer processing, high vacuum & photo-processing equipment used in the fabricating and testing of semi-conductor wafers, chips and substrates. Review information such as manufacturers specifications, operations manuals, drawings, wiring, wring diagrams, schematics and the like. Analyze equipment performance to determine causes of malfunctions using available test equipment.
Perform tests on equipment where the test involves functional operation of the equipment and where specific performance values are to be measured as required. Align, adjust and time circuity as necessary to bring within performance specifications.
Perform electrical, electronic, mechanical and/or hydraulic repairs which may require dismantling equipment to make necessary adjustment or repair.
Perform preventative maintenance according to established procedures which include such functions as changing filters and hydraulic fluids, cleaning and lubricating, tightening connections and making circuit adjustments.
Make specified modifications on equipment using such information as original schematics and wiring diagrams and change bulletins.
Prepare and submit maintenance reports and data to higher classified personnel as required.
Make calculations required in the summarization of data per detailed instructions using algebraic formulae.
**This is a 4th Shift position**
**F,S,S 7:00AM-7:00PM**
**This is a SEA Union Represented position**
**Education Requirement:**
High school graduate or equivalent.
Must possess an Associates Degree (minimum 60 credits) with a major in Electronics OR six months military electronics school plus two years working experience in the maintenance and repair of electro-mechanical equipment.
**Experience Requirement:**
Must have knowledge of electro-mechanical principles.
Must pass 600A Tech Assessment
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Azusa) Security Coordinator 32024-03-16T06:15:32-04:00https://northropgrumman.jobs/698094339EC149A7AEC94F60FF5F1F4F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Strategic Space Systems Division is seeking a **Security Coordinator 3** to join our team of talented and diverse individuals at our **Azusa, CA** location.
The responsibilities include but are not limited to the following:
+ Produces employee and guest badges, identification cards, and security reader cards.
+ Processes security information and data for employment records, security clearances, and property control.
+ Collects and submits electronic fingerprints, handprints and optical reading into systems.
+ Maintains lock and key records.
+ Processes visit authorizations for employees and guests to gain access to restricted areas.
+ Verifies accuracy of information prior to issuing clearances.
+ Maintains controlled security documents and information.
+ Excellent customer service experience with the ability to have critical and creative thinking.
+ Support Classified Document Control area by maintaining controlled security documents and information.
+ Maintains central, controlled supply of classified and unclassified documents originating within the company.
+ Reviews documents to determine pre-established classification level based on contract(s) or security manual, distribution requirements and processes distribution requests according to established procedures.
+ Ensures generation of quality assurance checklist for product release packages in support of production schedules.
+ Maintain a secure, computerized document revision system, a periodic review system, and status tracking for all process-related documents and records.
**Basic Qualifications:**
+ High School diploma or equivalent, and four years of additional education and/or administrative or security-related experience.
+ Candidate must have and be able to maintain, an active U.S. Government final security clearance at the **Top** **Secret** level with a background investigation dated within the last 6 years.
+ Candidate must have strong customer service & communication skills.
**Preferred Qualifications:**
+ Ability to work independent.
+ Ability to multi-task and deal with priorities.
+ Ability to lead team projects and work well within a team.
+ Strong organizational skills.
+ Strong working knowledge of basic office automation tool suites such as MS Office (Word, Excel, PowerPoint).
+ Experience with 32 CFR (NISPOM).
+ Experience Interpreting government requirements documents.
+ Experience with conducting security briefings.
+ Experience with Access Control Systems (Lenel/OnGuard).
+ Experience with Defense Information System for Security (DISS) government database.
+ Experience with Intercede System.
+ Knowledge of Database Application (Enterprise Security Information System (ESIS/SIMS)).
+ Knowledge of Safeguarding, control of accountability classified material.
+ Ability to maintain flexibility to deal with changing priorities and deadlines.
**Salary Range:** $48,600 - $81,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Falls Church) Executive Assistant to Vice President2024-03-16T06:15:31-04:00https://northropgrumman.jobs/67F722D716B742C3AF709B2D5C78969B26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems** is seeking an **Executive Assistant to the Vice President** . This role will perform a variety of activities in support of the **Strategy & Growth** team as well as executive support to visiting employees as needed. This position will be located in **Falls Church, VA** .
**Position Overview**
In this position you will work closely with senior executives, customers, and government representatives, both internally and externally. The ideal candidate will have outstanding verbal and written communication and interpersonal skills; demonstrated ability to interact effectively across all levels of the organization and with outside organizations/customers; the ability to protect and maintain confidentiality; and the ability to execute tasks and projects independently.
To be successful you must be an exceptional team player, proactive, self-motivated, collaborative, well-organized and detail oriented. Must be able to work with minimal supervision. Must provide excellent follow-through, exercise good judgment, demonstrate flexibility and agility, manage schedule conflicts, and prioritize multiple tasks with competing deadlines.
The selected candidate must be able to professionally and effectively communicate both written/verbally with internal and external representatives of all levels, demonstrating tact, diplomacy, discretion, and judgment when conveying and gathering and sharing relevant information.
**The selected candidate will be responsible for, but not limited to the following:**
+ Responsive travel planning and expense report support.
+ Calendar/meeting management for executive levels
+ Strong/thorough understanding of Company policies for planning/expensing catering, OIE, Indirect, and unallowable policies for diverse meeting support and office supply purchasing needs
+ Support a matrixed team (light travel/expense support)
+ Ability to attend staff meetings and take notes, capture meeting actions
+ Strong MS Office skills: to include Outlook (expert); PowerPoint and Excel
+ Ability to work with all levels of management and their assistants; ability to support multiple leaders within the corporate office and Sector HQ
**You'll be a great fit if you:**
+ Have a great sense of humor
+ Are a self-motivated, collaborative team player who thrives in a fast-paced environment
+ Serve as a trusted advisor and work in partnership with others
+ Have a demonstrated ability to manage and drive multiple projects to completion
+ Have excellent interpersonal skills and can work with all levels of management
+ Have exceptional organizational skills and can prioritize work and follow through on commitments
+ Exercise good judgement
+ Demonstrate flexibility and agility
**Basic Qualifications:**
+ High School diploma or equivalent and 8 years additional education and/or administrative or related professional experience
+ Ability to obtain and maintain a Department of Defense Secret Security Clearance and Special Program Access within a reasonable period of time as determined by the needs of the business
+ Comprehensive knowledge of MS Office, to include Outlook, PowerPoint, and Excel
+ Ability to work occasional overtime as needed
**Preferred Qualifications:**
+ Bachelor's Degree
+ Current Secret or Top-Secret Clearance
+ Experience in reviewing and editing written material for correct spelling and grammar
+ Experience working with travel, expensing systems (iTrips, Concur or similar)
+ Experience supporting senior level management/customers in a dynamic program environment
**What We Offer:**
At Northrop Grumman, we are on the cutting edge of innovation -- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer exceptional benefits/healthcare, a great 401K matching program, and 14 Employee Resource Groups inclusive of all employees!
*This is a non-exempt position with a pay range of $36.01 to $60.00 per hour*
**Salary Range:** $36 - $60
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) Production Planner and Scheduler (Level 1 or Level 2) B SHIFT2024-03-16T06:15:30-04:00https://northropgrumman.jobs/1C6AE94579E644FFB75170B9F62B9A8226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for **a Production Planner and Scheduler** to join our team of qualified, diverse individuals.
This position will be located in **Clearfield** **,** **Utah** **.**
**This role is for our B Shift (Weekday Nights: Mon-Thurs).** **This shift includes a shift differential in addition to base pay.**
**This role may be filled a level 1 or 2 based on the qualifications outlined below.**
The **Production Planning and Scheduler** will be expected to:
+ Create and coordinates production schedules along with ensuring materials, and planning are available for production.
+ Scheduling all program needs on one integrated schedule.
+ Understanding of product movement, load level at a resource level.
+ Advises management and master scheduler of production status and escalate problems to ensure labor, tools, equipment, and services are provided as needed.
+ Coordinates with other internal departments to ensure the movement of parts are timely.
+ Works with support functions to ensure supporting documents are available before production.
+ Coordination of product movement across the value stream.
+ Plans, prepares, issues and controls production schedules and coordinates with material requirements to ensure a controlled flow of approved materials timed to meet production requirements.
+ Advises management of the status of work in progress, material availability, and potential production problems to ensure that personnel, equipment, materials and services are provided as needed.
+ Schedules equipment and personnel, confirms material supply and demands, and prepares work orders for the production or purchase of components or parts based on a master production schedule, shop load and inventory requirements.
+ Coordinates interdepartmental activity with quality assurance, manufacturing, purchasing, engineering, inventory control, traffic, etc.; schedules and expedites the movement of parts by means of move orders, stock transfers and requests for shipping orders.
**Basic Qualifications**
**Principal Production Planner Scheduler (Level 1)**
+ Bachelor's Degree with 0 years of experience, or High School Diploma/GED with 4 years of experience in Business, Logistics, Industrial Engineering, or manufacturing production control
+ Ability to obtain DoD security clearance at the Secret level
+ Ability to obtain special program access
+ Planning/Scheduling Experience
+ Production Control Experience
+ ERP/MRP experience
**Production Planner Scheduler (Level 2)**
+ Bachelor's Degree with 4 years of experience, or High School Diploma/GED with 7 years of experience in Business, Logistics, Industrial Engineering, or manufacturing production control
+ Ability to obtain DoD security clearance at the Secret level
+ Ability to obtain special program access
+ Planning/Scheduling Experience
+ Production Control Experience
+ ERP/MRP experience
**Preferred Qualifications:**
+ Special Program Access
+ Material planning experience
+ Experience working in a manufacturing environment
+ Experience with SAP
+ Power Bi or Tableau experience
**What We Offer:**
At Northrop Grumman, we are on the cutting edge of innovation-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer exceptional benefits/healthcare, a great 401K matching program, and 14 Employee Resource Groups inclusive of all employees!
ASBUJobs
**Salary Range:** $46,700 - $70,100
**Salary Range 2:** $56,600 - $85,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) Production Planner and Scheduler (Level 3 or Level 4) B SHIFT *CLEARANCE REQUIRED*2024-03-16T06:15:30-04:00https://northropgrumman.jobs/39E3246CD58A452BA372F495C423934726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for **a Production Planner and Scheduler** to join our team of qualified, diverse individuals.
This position will be located in **Clearfield** **,** **Utah** **.**
**This role is for our B Shift (Weekday Nights: Mon-Thurs).** **This shift includes a shift differential in addition to base pay.**
**This role may be filled a level 3 or 4 based on the qualifications outlined below.**
The **Production Planning and Scheduler** will be expected to:
+ Create and coordinates production schedules along with ensuring materials, and planning are available for production.
+ Scheduling all program needs on one integrated schedule.
+ Understanding of product movement, load level at a resource level.
+ Advises management and master scheduler of production status and escalate problems to ensure labor, tools, equipment, and services are provided as needed.
+ Coordinates with other internal departments to ensure the movement of parts are timely.
+ Works with support functions to ensure supporting documents are available before production.
+ Coordination of product movement across the value stream.
+ Plans, prepares, issues and controls production schedules and coordinates with material requirements to ensure a controlled flow of approved materials timed to meet production requirements.
+ Advises management of the status of work in progress, material availability, and potential production problems to ensure that personnel, equipment, materials and services are provided as needed.
+ Schedules equipment and personnel, confirms material supply and demands, and prepares work orders for the production or purchase of components or parts based on a master production schedule, shop load and inventory requirements.
+ Coordinates interdepartmental activity with quality assurance, manufacturing, purchasing, engineering, inventory control, traffic, etc.; schedules and expedites the movement of parts by means of move orders, stock transfers and requests for shipping orders.
**Basic Qualifications**
**Principal Production Planner Scheduler (Level 3)**
+ Bachelor's Degree with 6 years of experience, or High School Diploma/GED with 10 years of experience in Business, Logistics, Industrial Engineering, or manufacturing production control
+ Active DoD security clearance at the Secret level
+ Ability to obtain special program access
+ Planning/Scheduling Experience
+ Production Control Experience
+ ERP/MRP experience
**Production Planner Scheduler (Level 4)**
+ Bachelor's Degree with 10 years of experience, or High School Diploma/GED with 14 years of experience in Business, Logistics, Industrial Engineering, or manufacturing production control
+ Active DoD security clearance at the Secret level
+ Ability to obtain special program access
+ Planning/Scheduling Experience
+ Production Control Experience
+ ERP/MRP experience
**Preferred Qualifications:**
+ Special Program Access
+ Material planning experience
+ Experience working in a manufacturing environment
+ Experience with SAP
+ Power Bi or Tableau experience
**What We Offer:**
At Northrop Grumman, we are on the cutting edge of innovation-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer exceptional benefits/healthcare, a great 401K matching program, and 14 Employee Resource Groups inclusive of all employees!
ASBUJobs
**Salary Range:** $69,600 - $104,400
**Salary Range 2:** $86,300 - $129,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Dulles) Windows Systems Administrator2024-03-16T06:15:30-04:00https://northropgrumman.jobs/4FA14166542146A393F7E6065E20E6EE26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman CIO is seeking a novice level **Windows Systems Administrator** to join its dynamic team of technical professionals.
Please note that the selected candidate will be required to work on-site, full-time, at our **Dulles, VA** campus. This is not a remote position.
Responsibilities will include but not be limited to the following:
Roles and responsibilities include:
+ Perform as a Windows System Administrator for a classified government contract
+ Communicate effectively at all levels of the organization, with internal or external customers, in written and oral format.
+ Maintain smooth operation of multi-user computer systems, including coordination with network, software, and system engineers, PC desktop technicians, project managers, end users, and customer and IT management.
+ Install, configure, and perform maintenance of virtual environments to include virtualized servers and VDI Desktops.
+ Support multiple networks. Ensure necessary administration tasks are completed; direct others as necessary.
+ Analyze internal or external customer requirements and determine equipment and software requirements for solutions.
+ Recommend and implement system enhancements that will improve the performance and reliability of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Provide backup and recovery services; manage file systems and disk space; manage virus protection on a routine basis.
+ Create and maintain user and computer accounts and modify file permissions and security access lists.
+ Test new releases of products to ensure compatibility and minimize user impact.
+ Develop and document technical processes and procedures as needed.
+ Make recommendations to purchase hardware, software, system components.
+ Interact, meet, discuss, and troubleshoot issues with vendors; evaluate vendor products, services, and suggestions.
+ Adhere to strict Information Systems security guidelines in all cases.
+ Provide technical input for security documentation to Computer Security.
+ Report project status as required for all recurring and non-recurring efforts.
+ Works under minimal direction and independently determines and develops approach to solutions.
+ Work across disciplines to isolate issues between software, firmware, and hardware, between software and operating systems, between networks and applications, and between one-time events and recurrent systematic problems.
+ Lift equipment weighing up to 40 pounds.
+ Work after hours and weekends as needed.
If you are ready to solve complex problems in a dynamic environment, apply today!
**Basic Qualifications:**
+ Master's degree with 0 years of experience; OR a Bachelor's degree with 2 years of experience; OR an Associates degree with 4 years of experience; OR a High School Diploma/GED with 6 years of experience is required
+ Candidates must have a **DOD 8570 IAT Level II** (or greater) certification. Example: Security+ CE. Maintaining the required certification will be a condition of continued employment
+ Experience managing Windows Server OS in a domain architecture is required
+ Must have experience installing, configuring, troubleshooting, and maintaining network services, equipment / devices, such as Switches, Routers, Hubs, Servers, and Firewalls
+ Experience operating under, and managing systems within, NISPOM Chapter 8, DCID 6/3-ICD 503, RMF, STIG, JAFAN, or JSIG information system environments is a must
+ Candidates must have a current DOD **Secret** level security clearance (at a minimum) to include a closed investigation date completed within the last 6 years OR must be enrolled in the DOD Continuous Evaluation Program (CEP), in order to be considered
+ Must have the ability to obtain, and maintain, access to Special Programs as condition of continued employment
**Preferred Qualifications:**
+ The ideal candidate will have a Bachelor's degree in Information Technology, a Security+ CE, and 3 years of experience with Windows Server management and Windows domain architecture
+ Candidate with VMWare experience (with current versions of Virtual Center, ESXi and/or VMWare Horizon View) will get preferential consideration
+ Familiarity/Experience with Linux RHEL Operating Platform is a plus
+ Experience installing, configuring, and maintaining computer hardware in a networked environment
+ Understanding basic networking, including subnets, routing, and VLANs; Cisco experience and/or certification
+ Windows AD, LDAP, VMWare, and knowledge of SAN storage systems
+ Knowledge of environmental monitoring tools (HBSS, Solar Winds, etc.)
+ Creation and deployment of system images in an enterprise environment
+ Experience with Certification & Accreditation process
+ DoD 8570 Certification in a primary OS (Windows, Linux, Cisco)
+ Active Top Secret or SCI level clearance is highly desirable
**Salary Range:** $79,300 - $118,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Staff RF Microwave Subsystem I&T Engineer2024-03-16T06:15:28-04:00https://northropgrumman.jobs/C08F396572204C898C042EB8E669BA1026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The qualified candidates will work with a diverse and talented team of engineers developing innovative and cost-effective systems that support a broad range of products and business areas across the lifecycle of a program. Applicants should possess the ability to communicate effectively and clearly present technical approaches and findings.
The AMS E&S organization at Northrop Grumman Missions Systems in Baltimore, Maryland is seeking experienced **RF Subsystem Integration and Test engineers** in the following areas:
Antenna hardware testing, Electromagnetics, Computational Electromagnetics, RF/Microwave test, design and analysis. Work is performed without appreciable direction and exercising considerable latitude in determining technical objectives of assignments. Candidates would have the ability to develop technical solutions to complex problems which require the regular use of ingenuity and creativity.
Responsibilities may include development of:
+ Antenna test equipment understanding for factory phased array testing
+ Integrate new equipment and programs in a state-of-the-art facility
+ Software development for automating test processes and data analysis
+ Troubleshoot RF issues during test of advanced antenna systems
+ Support technicians with troubleshooting and training
+ Analyze test data and identify early trends
+ Support program meetings in developing root cause and corrective actions
+ Collaborate with design engineering for hardware and test improvements
+ Subsystem analysis including hardware from RF to digits
+ Support for performance testing, requirements verification, and more.
In addition, this candidate should have demonstrated technical ability/leadership and expertise in one or more of the following areas:
+ Antenna range integration, test, and system integration
+ Active electronically scanned arrays (AESAs)
+ Highly integrated subsystems including antenna hardware
+ Reflectors, Reflect Arrays, Digital Beam Forming
+ Antenna/RF Performance Analysis
+ Antenna Measurements and Data Reduction
+ Subsystem requirements (hardware and software) derivation, flow-down, and configuration
+ Comfortable creating test support applications and tools using MATLAB
+ Conducting Test Readiness Reviews and/or Design Readiness Reviews
+ Concept development of operation for integration and test activities
+ Executing subsystem and system level testing - both in engineering development and manufacturing environments
**Basic Qualifications Staff RF Microwave Subsystem I&T Engineer:**
+ Must hold a Secret or Top Secret security clearance
+ Education
+ Must have a Bachelor's Degree in Engineering or related discipline with 14 years of experience in the areas described in the job description or
+ Master's Degree with 12 years of experience or
+ PhD with 9 years of experience
+ Strong knowledge using MATLAB
+ Experience with set up and testing of hardware in a lab/bench top environment
+ Strong understanding of AESA / phased array, Radar, or SATCOM sensors
+ Be able to lead and delegate tasks to a group of junior RF subsystem I&T engineers
+ Be able to collaborate and work with various multi-discipline engineers in a lab setting
**Preferred Qualifications:**
+ TS/SCI clearance
+ SAP clearance
+ Strong knowledge of
+ setting up a testing environment in Near-field (NF) ranges and Compact range (CR)
+ testing phased arrays in a NF or CR range
+ Knowledge with RF and antenna theory
+ Test development experience in a nearfield antenna range
+ Experience troubleshooting RF subsystems
+ Strong understanding of Antenna/RF Performance Analysis
**Salary Range:** $157,500 - $236,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(ARE-Unknown City) SCA Aircraft Mechanic 3 (United Arab Emirates) Top Secret Clearance2024-03-16T06:15:27-04:00https://northropgrumman.jobs/45043DF12DF640F1B8CA4E1C679DF53026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Job Description:**
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where, your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible.
With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today. Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Northrop Grumman Defense Systems has an opening for an Aircraft Mechanic 3/4 (MQ-4C Triton/UAE) to join our team of highly qualified & diverse professionals. **This position will start at Patuxent River Naval Base MD or Mayport Naval Base Fl until the deployment to the United Arab Emirates in Abu Dhabi. Once deployed to the UAE this position will change to a NON SCA position.** The selected candidate will work closely with the fielded aircraft and USN customer in support of technical issue resolution, Field Service Action Requests, Tech data discrepancies, and mission engineering. They will provide technical root cause evaluations, technical recommendations, data analysis, mission engineering, system integration, and troubleshooting with minimal oversight.
**This position will be a long-term expatriate opportunity. This position will include Flight Arrangement(s), Household Good Shipment, HOLA, COLA and other incentives for working this assignment overseas** .
**Basic Qualifications:**
• An Active DoD TOP SECRET clearance is required.
• Perform developmental, production, and operational aircraft maintenance, repair,
modification, and installation to support flight testing and fleet integration for the MQ-4C Triton.
• Areas of specialization include airframes, structure repair, composite repair, engine and instrumentation systems, and integrated systems.
• Work from engineering orders, schematics and blueprints, repair manuals and other technical documents in the performance of maintenance, disassembly, rework, repair, replacement, re-assembly, or adjustment of various vehicle systems.
• Troubleshoot and accurately diagnose malfunctions to properly identify and correct
problems in minimum time.
• Install upgrades and remove products ensuring coordinative engineering field changes.
• Work with active-duty military personnel in a professional manner as a representative of Northrop Grumman (FSR type role/functionality)
• Adhere to Contractor Operating Procedures and Naval Aviation Maintenance Practices
• Aircraft Production/Modification experience
• Experience instructing maintenance in a FSR role.
**Preferred Qualifications:**
• Triton/Global Hawk experience
• OOMA and U.S. Navy maintenance experience.
• Avionics/Electrical background.
• Experience in ICD development and integration.
• A&P Certification
**Salary Range:** $1 - $1
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-LA-Lake Charles) P-3 SCA Aircraft Mechanic 3 - Structures2024-03-16T06:15:27-04:00https://northropgrumman.jobs/4B6F92FCEBBC450A8901FACBC3DC4BF826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Are you ready to put your experience to work at Northrop Grumman? If so, our Defense Systems sector is seeking experienced personnel with P-3 aircraft background to join us as a P-3 SCA Aircraft Structures Mechanics. You will support a contingent maintenance program with Customs and Border Protection (CBP) in Lake Charles, LA.**
****This is a Service Contract Act Position.**
**The CBP P-3 fleet consists of Airborne Early Warning (AEW) and Long Range Tracker (LRT) high-endurance, all-weather, tactical turbo-prop aircraft. The AEW aircraft are utilized primarily for long-range patrols along the entire U.S. border, and in source and transit zone countries. The LRT aircraft are used to intercept, track airborne and surface threats in source and transit zone countries. The P-3 aircraft are equipped with state-of-the-art, highly sophisticated sensor equipment, i.e., radar, electro-optical and infrared (EO/IR) detecting systems.**
**Your duties will include:**
**1. Performs a variety of operations to assemble and make major assembly structures such as fuselage panels and bulkheads, and structural subassemblies such as rudders, flaps, and stabilizers.**
**2. Aligns parts on jigs using templates and fixtures.**
**3. Performs such minor part-fitting operations as filing, sawing, burring, crimping, trimming, framing, riveting, drilling and reaming.**
**4. Measures parts with micrometers and calipers to verify dimensions.**
**5. May modify incomplete and complete assemblies to incorporate changes to structure.**
**6. May monitor and verify quality in accordance with statistical process or**
**other control procedures.**
**CBPP3**
**LCPDM**
**Basic Qualifications:**
**1. High School diploma or equivalent and a minimum of 4 years of related experience.**
**2. Ability to be subject to a variety of physical conditions.**
**3. Able to wear respirator or other safety gear.**
**4. Ability to be subject to areas which could cause various phobias due to height or closed spaces.**
**5. Able to perform work in an approved environment during specified work hours, to change physical locations based upon need, to be subject to both external and internal environmental conditions.**
**6. Ability to successfully complete a DHS CBP SS Background Investigation**
**Preferred Qualifications:**
**1. P3 or similar aircraft experience**
**2. Military aviation specific experience**
**3. Airframe and/or powerplant license**
**4. A current Secret Clearance.**
**Salary Range:** $35 - $39
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(GBR-New Malden) Senior Project Manager2024-03-16T06:15:27-04:00https://northropgrumman.jobs/733593AB20FD41A29080EF114D8476A126**Your Opportunity to Define Possible. Our Opportunity to Deliver the Nation's Security. Together.**
**Salary:** £68,000 - £75,000
**Role Clearance Type:** **You must be able to gain and maintain the relevant UK Government clearance in the line with the job role (SC)**
**Location:** Burlington House, New Malden, Kingston-Upon-Thames, London, UK.
**About Your Opportunity:**
**Play a key role in one of Northrup Grumman UK's largest programmes!**
In this role you are responsible for managing a safety critical control systems software project, ensuring project delivery, tracking key KPIs, as well as developing strategy, plans and business cases, in conjunction with the engineering team This is high profile role on a high profile programme, so great opportunity to develop your career!
**Your Benefits:**
+ **Flexible working schedules -** we offer flexible and hybrid working arrangements. Talk to us at the application stage about any scheduling preferences you may have.
+ **Flexible Benefits Package** - choose which NGUKL benefits you want to satisfy your personal needs. Core Benefits provided for you are Healthcare, Dental, Life Assurance and Pension. Benefits you can flex include Critical Illness Cover, Health Cash Plan, and Health Assessments.
+ **Employee Incentive Programme -** exceptional performance is recognized through our annual incentive programme which is awarded to top performers who excel.
+ **Career Development -** opportunity for ongoing professional development and career growth opportunities.
**Your Responsibilities:**
+ Oversees and manages the operational aspects of ongoing projects and serves as liaison between project management and planning, project team, and line management
+ Support the Programme Manager to manage project relationships, including partners, suppliers, and beneficiaries, in line with agreements, supplier code of conduct and best practice stakeholder management and contract management. These relationships will be managed through effective collaboration across the stakeholder community to ensure delivery objectives are meet.
+ To launch, organise, plan, document and effectively manage the execution and conclusion of all allocated projects to deliver on our commitments within baselined schedule and budget
+ Implement, maintain and manage all elements of project management including: value, scope, quality, procurement, time, finance, human resource, risk, opportunities and communications.
+ Actively manage both Risk and Opportunities to achieve the best Business outcome
**Your Experience:**
+ Proven track record of delivering complex engineering projects the entire design lifecycle
+ Extensive internal and external stakeholder management experience
+ Hold a recognised PM Qualification (APM Project Professional Qualification (PPQ)
+ Experience in the Defence, Maritime or closely related sectors
+ Technical degree or equivalent knowledge/experience
**Your Future Team:**
This is a great opportunity to lead a team of dedicated individuals to deliver a world-class safety critical control systems, on time, supporting the continuous at sea deterrent
We are a committed and passionate delivery team working to achieve our common goal. We have a multi-disciplined collaborative team structure that delivers total solutions to our customers, and means we all have an opportunity to learn from others with huge range of experience and knowledge.
We believe that creating a team that values diversity and fosters inclusion is essential to great performance and we are proud to deliver quality systems to our customers.
Diversity is at the heart of our success. Our team share experience, knowledge and new thinking gained from a wide range of backgrounds perspective, culture, gender, race, age and many other elements across several industries. We welcome candidates from all backgrounds and particularly from communities currently under-represented within our industry . We treat everyone with respect and foster safe and inclusive environments.
**About Our Responsibilities:**
Our customers operate in unique environments which offer new and exciting challenges every day, cultivating a place where you can learn and thrive, working alongside the best minds in industry. We'll give you space to develop your career, where your ideas can shape the future of our dynamic business.
We promote collaboration to achieve more than we could imagine, together. And within a respectful and inspirational environment, we value what you say and do.
**How to Apply:**
**Interested in our opportunity?**
**Yes** - then simply submit your application online. Your application will be reviewed by one of our expert recruiters who'll then respond advising you of the outcome and next steps for successful candidates.
**Possibly, I'd like to find out more** - email talentenquiries@uk.ngc.com to connect with one of our Sourcing Specialists who will be happy to support you with any enquires.
Background checks and potentially security clearance form part of the recruitment process, our team will inform you of the procedures when required.
**Northrop Grumman UK:**
Work with a global brand that makes a real contribution to our nation's security and future. At Northrop Grumman UK, the brightest minds come together to push the boundaries and Define Possible. As leaders in the digital transformation of Aerospace, Defence and Intelligence we are providing ground-breaking outcomes for our customers.
**UK Defence Business:**
Our UK Defence business is a Sovereign software and systems centre of excellence. As well as developing and supporting UK wide and internationally deployed multi-domain command and control systems, our work is critical to the modern backbone of the Royal Navy. We pioneer - with fierce curiosity, dedication, and innovation, we seek to solve the world's most challenging problems.
**Find out more** : https://www.northropgrumman.com/careers/job-search-united-kingdom/
\#LI-TP1
**\#LI-Hybrid**
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.(AUS-Tindal) Supply Operations Lead2024-03-16T06:15:27-04:00https://northropgrumman.jobs/77BD8A0177994BCE85FA531DC6EE89C226We're building a reputation as the place to be in Defence. With strong local management, sound investment and a fresh approach, we're on an exciting trajectory and building unique capability. Leveraging the kind of leading-edge US capabilities and technology most can only imagine our close-knit 800-strong team is busy delivering World-leading innovative and sustainable solutions to safeguard Australia's future. Together we're growing a business where everyone contributes and everyone matters.
Northrop Grumman's **MQ-4C Triton** Unmanned Aircraft System (UAS) provides real-time intelligence, surveillance and reconnaissance (ISR) over vast ocean and coastal regions. The aircraft can fly for more than 24 hours at a time, at an altitude above 55,000 feet and an operational range of 8,200 nautical miles. Australia is a cooperative program partner in the Triton program which consists of the US Navy, Royal Australian Air Force and Northrop Grumman.
**Your role:**
Are you ready to embrace a pivotal role within our logistics/supply chain team? Your responsibilities will encompass a diverse array of critical tasks, ranging from inventory management to ensuring the ready availability of spares, tools, and equipment. Your duties will include the meticulous preparation of logistics documentation, the determination of maintenance materials and the scrupulous maintenance of records.
Your typical day will revolve around the dynamic world of stock, involving the receipt and issuance of goods, astute stock management and the application of Commonwealth processes. Should the demands of maintenance necessitate items that are not readily at hand, you will be the orchestrator of stock levels and the raiser of requisitions to guarantee an uninterrupted supply.
Additionally, you will provide comprehensive management and maintenance of warehouses, alongside the facilitation of systems, processes, and standards. Overseeing a dedicated team of Northrop Grumman Program Warehousing Stores Clerks, you will support their output, guiding activities such as receipt and storage, picking and packaging, dispatching and the harmonisation of inbound and outbound shipments. Moreover, you are the guardian of compliance, ensuring adherence to all pertinent warehouse processes and regulations, including those outlined by Defence and RAAF Base.
Underpinning all these responsibilities is the overarching management of the warehouse and warehousing team functional execution. You are entrusted with the secure storage of all aircraft and non-aircraft spares, the upholding of inventory security, and the careful management of warehouse stock holdings.
If you are an experienced professional with a proactive approach and seeking your next level role in supply chain and logistics, this role presents a unique opportunity to take full responsibility for supply operations and significantly contribute to our program's success.
**About You**
To excel in this position, we seek candidates with a current forklift licence and an ADF Baseline Security Clearance, or the ability to obtain one. A tertiary qualification in Supply Chain/Logistics is desirable. Ex-military backgrounds are particularly valued, as they bring a deep understanding of operational environments, especially at RAAF Tindal. Quick thinking and decisiveness are traits that will serve you well in this dynamic role. Previous roles in Defence logistics, supply chain, or squadron store positions are additional assets that we value.
**What's in it for you** :
Whatever your role, life stage, or background, you can shape your career here in your way with highly challenging work, great colleagues, and career development, plus a range of flexible benefits and working patterns.
+ Commitment to your Professional Development - further education, leadership development, professional industry memberships, and unlimited access to a range of online training
+ Options for flexible & hybrid work including 9-day fortnight
+ Purchased annual leave
+ Salary packaging including novated car leases
+ Generous paid parental leave
+ Volunteer Leave
+ Health & Wellbeing program
+ Employee Assistance Program
Defining Possible means something different to each one of us. What's Your Possible?
Doing the Right Thing and Sharing Success are two values underpinning how we behave at Northrop Grumman. Here, everyone matters and we proudly encourage individuals from all backgrounds and all abilities to apply to join our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.
Note: As a Defence security clearance is required for this role, you will need to be an Australian Citizen. International Traffic in Arms Regulations (ITAR) are applicable, so your nationality may be a factor in determining your suitability for this role.
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.(USA-MD-Linthicum) U109 Semiconductor Equipment Technician C - 2nd Shift2024-03-16T06:15:27-04:00https://northropgrumman.jobs/79F3CFB37DA246D79AECBE433427D5E126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a Semi-Conductor Equipment Technician C to join its organization. This position is located in **Linthicum, Maryland.**
**Primary Function:**
Repair and align a variety of equipment used in the manufacturing and testing of semi-conductors.
Maintain in working order assembly & packaging, diffusion, mask making, wafer processing, high vacuum & photo-processing equipment used in the fabricating and testing of semi-conductor wafers, chips and substrates. Review information such as manufacturers specifications, operations manuals, drawings, wiring, wring diagrams, schematics and the like. Analyze equipment performance to determine causes of malfunctions using available test equipment.
Perform tests on equipment where the test involves functional operation of the equipment and where specific performance values are to be measured as required. Align, adjust and time circuity as necessary to bring within performance specifications.
Perform electrical, electronic, mechanical and/or hydraulic repairs which may require dismantling equipment to make necessary adjustment or repair.
Perform preventative maintenance according to established procedures which include such functions as changing filters and hydraulic fluids, cleaning and lubricating, tightening connections and making circuit adjustments.
Make specified modifications on equipment using such information as original schematics and wiring diagrams and change bulletins.
Prepare and submit maintenance reports and data to higher classified personnel as required.
Make calculations required in the summarization of data per detailed instructions using algebraic formulae.
**This is a 2nd Shift position**
**This is a SEA Union Represented position**
**Education Requirement:**
High school graduate or equivalent.
Must possess an Associates Degree (minimum 60 credits) with a major in Electronics OR six months military electronics school plus two years working experience in the maintenance and repair of electro-mechanical equipment.
**Experience Requirement:**
Must have knowledge of electro-mechanical principles.
Must pass 600A Tech Assessment
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(GBR-Cheltenham) Lead Backend Developer2024-03-16T06:15:26-04:00https://northropgrumman.jobs/6D8A0D5442B44273B750100A9426EFCF26**Define Possible at Northrop Grumman UK**
At Northrop Grumman UK, our mission is to solve the most complex challenges by shaping the technology and solutions of tomorrow. We call it Defining Possible.
This mind-set goes beyond our customer solutions; it's the foundation for your career development and the impact we have within the community. So, what's your possible?
**Opportunity:**
This is more than just a job; it's a mission.
As a Lead Backend Developer you play a pivotal role in designing, developing and maintaining the critical backend infrastructure that powers our services. You will be self-driven and organised with a passion for building elegant and stable solutions. You will have the chance to work on a variety of exciting projects with complex challenges within a unique space. You'll be at the forefront of delivering core solutions that have real world impact.
Our UK Cyber & Intelligence business combines modern software development approaches with a rich heritage and experience in the Defence and security sectors. Our customers have complex and sensitive data and information requirements requiring a mission partner who quickly understands the context, delivering and sustaining a portfolio of challenging technology projects at scale and pace, supporting them through an ambitious digital transformation programme.
"My purpose; to lead a team of software engineers with the brightest minds, to push the boundaries and define possible together." Rishi Badiani, Engineering Authority at Northrop Grumman UK.
**Responsibilities:**
+ Technical leader and focal point for the software development team - ensuring software is well designed and of high quality
+ Perform hands-on development and actively contribute to the code base including peer review of code
+ Support the Delivery Lead/Project Manager in developing project roadmaps, understanding delivery time scales, and communicating these to the customer
+ Liaison with the Product Owner to manage the product road map and communicating the impact of changing customer requirements
+ Identifying technical risks/opportunities and proactively developing plans to mitigate and realise them
**Benefits:**
We can offer you a range of flexible working options to suit you, including optional compressed working schedule with every other Friday off. Our benefits including private health care, career development opportunities and performance bonuses. For a comprehensive list of benefits, speak to our recruitment team.
**We are looking for:**
+ Experience of leading an Agile software development team of mixed abilities
+ Hands on experience of developing software in Java **or** Python
+ Understanding of Cloud-based architectures in AWS **or** Azure
+ Use of DevOps Automated Deployment tools such as Jenkins, Gitlab, Docker, OpenShift **or** Kubernetes
+ Understanding of database technologies such as SQL, Elasticsearch **or** MongoDB
**Security clearance:**
You must already hold highest level of UK government clearance.
Our requirement team is on hand to answer any questions and we will guide you through the process: talentenquiries@uk.ngc.com .
**Why join us?**
+ **A mission to believe in** **-** Every day we contribute to building a more secure and connected world, expanding our reach from land, sea, and air to space and cyberspace. From engineering data and intelligence solutions, to developing maritime navigation and control systems and innovating command and control systems for the UK and NATO, what we do together matters.
+ **A place to belong and thrive** **-** Every voice matters at our table meaning you can bring your authentic self to work. From our Employee Resource Groups backed by thousands of employees, to sponsoring Cheltenham Pride and our partnerships with Association For Black and Minority Ethnic Engineers, Forces Transition Group, Mind, and Women in Defence - we are passionate about growing and supporting our inclusive community where everyone can belong.
+ **Your career, your way** - Shape your career journey with diverse roles, mentorship, and development opportunities that fuel your curiosity, channel your expertise and nurture your passion. Looking for flexibility? Balance your professional career with your personal life, health and wellbeing benefits, discount schemes, pension benefits and investment in your future development. Speak to our team to find the balance that's right for you.
**Ready to apply?**
**Yes** - Submit your application online. Your application will be reviewed by our team and we will be in touch.
**Possibly, I'd like to find out more** **about this role** - Reach out to our team for more information and support: talentenquiries@uk.ngc.com .
**No, I don't think this role is right for me** - Our extensive UK growth means we have exciting, new opportunities opening all the time. Speak to our team to discuss your career goals.
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.(USA-LA-Lake Charles) E-6B IMMC Industrial Engineer / Scheduler / Planner / MS Project2024-03-16T06:15:26-04:00https://northropgrumman.jobs/959433A25053411FA8B93F8B8F7C370626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.**
**Are you ready to put your Industrial Engineering experience to work at Northrop Grumman? If so, our Defense Systems sector wants you to join our Team as a Industrial Engineer / Planner / Scheduler and support our E-6B maintenance program in Lake Charles, LA.**
**The Navy E-6 is an airborne command post and communications relay based on the Boeing 707 platform The aircraft are utilized primarily for long-range patrols along the entire U.S. border, and in source and transit zone countries. This platform, now modified to the E-6B standard, conveys instructions from the National Command Authority to fleet ballistic missile submarines, a mission known as TACAMO ("Take Charge And Move Out").**
**Your duties include:**
+ **Plans, prepares, issues and controls production schedules; integrating multiple program schedule requests into one executable schedule for production teams**
+ **Resolves conflicts between competing programs for common resources**
+ **Advises management of the status of work in progress, material availability, and potential production problems to ensure that personnel, equipment, materials and services are provided as needed**
+ **Create, maintain and status network-based, Master Production Schedules in support of E-6B programs**
+ **Fully understands critical path schedule network processing, driven by logic, durations and date constraints**
+ **Experience with IMP/IMS schedule structure as well as schedules based on Work Breakdown Structures**
+ **Experience exporting schedule data to Excel and working with responsible parties to gather and report schedule status and productivity metrics per weekly and monthly reporting cycles**
+ **Experience with critical and driving path identification and analysis**
+ **Experience resource loading schedules, including both labor and material**
+ **Exposure to MRP/ERP and shop floor MES systems with experience aligning program schedules with the manufacturing/production system**
+ **Motivated to work independently with minimum supervision, yet understands the importance of the Master Production Scheduler role in contributing to the overall success of the program team**
+ **Familiarity integrating external customer or subcontractor program activity into the master production schedule**
+ **Analyzes and designs sequence of operations and work flow to improve efficiencies in plant and production facilities and equipment layouts; and establishes methods for maximum utilization of production facilities and personnel**
+ **May establish or assist in establishing accident prevention measures and may manage training programs for personnel concerning all phases of production operations**
+ **Conducts studies pertaining to cost control, cost reduction, inventory control, and production record systems. On the basis of these studies, develops and implements plans and programs for facility modifications and revisions to operating methods**
NGSkills
**Basic Qualifications:**
+ **A High School diploma or equivalent and a minimum of 8 years of IE / Planner / Scheduler experience. A Bachelor's degree in relevant area of study and a minimum of 4 years of IE experience may also be considered.**
+ **Experience with Microsoft Project**
+ **Excellent communication and interpersonal skills with the ability to interface with and drive deliverables from individuals at all levels within the organization**
+ **Ability to multitask and prioritize**
+ **Strong attention to detail**
+ **Ability to obtain a Secret Clearance, if required.**
**Preferred Qualifications:**
+ **A Bachelor's or higher degree**
+ **Experience utilizing all aspects of Microsoft Project to manage IMS**
+ **A current Secret DoD clearance**
+ **Experience on new programs**
**Salary Range:** $68,500 - $102,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Financial Analyst L3/L4 - Roy UT (7703-1)2024-03-16T06:15:26-04:00https://northropgrumman.jobs/A13D35CDAB014E64AE069EC4E740AB2C26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is seeking a Financial Analyst L3/L4 to join our team of qualified, diverse individuals. **This position will be located in Roy, Utah** , and support the Ground Based Strategic Deterrent (GBSD) program.
**Roles and Responsibilities include, but are not limited to, the following:**
+ Supporting all aspects of program financial execution objectives.
+ Supporting effective compliance with EVMS objectives.
+ Supporting consolidation and validation of the business units' inputs to business systems supporting financial forecasting and profitability determination.
+ Supporting financial assurance engagements including IPT level engagements for Integrated Baseline Reviews (IBRs), Joint Surveillance Reviews (JSRs), and Internal and External audits.
+ Supporting review and oversight of accuracy of reported profitability.
+ Supporting SOX control testing processes and support training to ensure compliance across the division.
+ Supporting oversight and quality assurance of financial data delivered to internal and external customers, including variance analysis and forecasts.
+ Supporting consolidation and validation of the business units' Program Annual Operating Plan (AOP)/Long Range Strategic Plan (LRSP).
+ Performing miscellaneous financial support and project work, as required.
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**This requisition may be filled at either a level 3 or a level 4.**
**Basic Qualifications for a Level 3:**
+ Bachelor's degree with 6 years of related professional experience Accounting, Finance, Business Management (or a related field) - OR - a Master's degree with 4 years of related professional experience Accounting, Finance, Business Management (or a related field). An additional 4 years of experience accepted in lieu of a degree.
**Basic Qualifications for a Level 4:**
+ Bachelor's degree with 10 years of related professional experience Accounting, Finance, Business Management (or a related field) - OR - a Master's degree with 8 years of related professional experience Accounting, Finance, Business Management (or a related field). An additional 4 years of experience accepted in lieu of a degree.
**Additional Basic qualifications for both levels:**
+ Experience with cost management, finance management, or pricing and estimating.
+ Experience using MS Office applications including Excel, PowerPoint and Word.
+ Ability to multi task and balance multiple priorities.
+ Ability to obtain a Secret level security clearance. US citizenship is a prerequisite.
**Preferred Qualifications:**
+ Current Secret level security clearance. US citizenship is a prerequisite.
+ Prior experience in the aerospace & defense industry.
+ Costpoint and/or SAP experience.
+ Advanced experience with Excel, PowerPoint and Word.
**Salary Range:** $76,600 - $115,000
**Salary Range 2:** $95,000 - $142,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Principal Engineer Mission Assurance - 12007 *2024-03-16T06:15:25-04:00https://northropgrumman.jobs/4EA1FF0F5E2942618730908B5AD2E8BC26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **Principal Mission Assurance Engineer.** This position will be located in Roy, UT and will support the Sentinel program.
**This role may offer a competitive relocation assistance package.**
**What You'll Get** **To** **Do:**
+ Northrop Grumman is currently seeking a Principal Mission Assurance (MA) Engineer to provide support to our Roy, Utah Ground Based Strategic Deterrent (GBSD) Team.
+ Qualified candidate will support MA functions to ensure mission success.
+ Technical reviews of all GBSD drawings, SOW's, Requirements documents as required.
+ Performs or assures quality, risk management, safety, reliability and maintainability of GBSD achievements.
+ Assesses GBSD performance and risks and determines resources to ensure mission assurance.
+ Assist the Program Quality Managers (PQM) with all GBSD Mission Assurance/Program Management requirements.
+ Develop, implement and maintain the GBSD Program Quality Plans.
+ Assign program tasks to the functional area PQE's.
+ Lead and facilitate GBSD program FRBs ensuring identification of root cause and proper implementation of corrective actions and reach back and reach across.
+ Assist PQM in preparation and execution on of Program Preventive and Corrective Action Board (PCAB).
+ Develop and maintain GBSD Program Metrics.
+ Assist in the preparation of the Program Management Program Review packages.
+ Support PQM with GBSD Customer Corrective Action Requests.
+ Support IPT MA & QE in Conditional Acceptance requirements and flow down.
+ Monitor timely closure of Conditionally Accepted hardware and work corrective actions with IPT MA & QEs
+ Acceptance of functional and integrated test events
+ Must be able to obtain Secret Clearance
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ H ealth & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**You'll Bring These Qualifications:**
+ A Bachelor's degree in a STEM discipline and 5 years of Quality experience OR a Master's Degree in a STEM discipline and 3 years' experience OR PhD Degree in a STEM discipline and 0 years' experience.
+ Active Secret Clearance with ability to obtain PAR
+ Experience in developing and implementing Mission Assurance or Quality Assurance plans.
+ Experience quality management systems to support program processes, audits, and/or improvement.
+ Experience with manufacturing and test labs quality assurance processes.
+ Experience with root cause corrective actions and MRB
**These Qualifications Would be Nice to Have:**
+ Experience with Mission Assurance Engineering and Quality Engineering practices
+ Demonstrated ability prioritizing tasks and meeting deadlines
+ Experience in Missile Systems/Sub-Systems design, construction, or sustainment
+ Experience in process monitoring, data collection, analysis, and metric reporting for overall program and segments
+ A current/Active Top Secret clearance
+ Leadership experience within manufacturing and engineering environments.
+ Lead program PCAB and drive process improvements.
**Salary Range:** $92,600 - $139,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-IL-Rolling Meadows) Staff Systems Engineer- SEIT Lead2024-03-16T06:15:25-04:00https://northropgrumman.jobs/72B3D264001045A4A743E4B60C330A6C26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
We are looking for you to join our team as a **Staff Systems Engineer (SEIT Lead)** in the Mission Systems, Radio Frequency (RF) Department based out of **Rolling Meadows, IL** . As a SEIT Lead at Northrop Grumman, you will have a challenging and rewarding opportunity to be a part of our Enterprise-wide digital transformation. The opportunities range from our Emerging Capabilities Development group where we work on new technologies enhancing our product portfolio to working on initial production programs turning concepts and capabilities into producible systems.
**What You'll get to Do:**
Be part of the RF SEIT Lead team. As an RF SEIT Lead, you will part of a highly skilled cross functional engineering team working on complex RFEW systems that provide war fighter protection as well as situational awareness. Our team supports programs within the Electronic Warfare Systems (EWS) Division and Emerging Capabilities Division (ECD). On RF programs, the SEIT lead is the overall lead for the technical effort working with the customer, the program office, the system architects, chief engineers as well as all engineering and manufacturing functions to ensure that a high-quality system is produced and delivered. The SEIT lead is responsible for the overall technical leadership of the program which includes technical, cost, and schedule execution.
**In addition, you will also:**
+ Perform as the technical spokesperson for the system to our customer as well as senior company leadership.
+ Perform technical planning, system integration, verification and validation, cost and risk analysis, and supportability and effectiveness analyses for total systems. Analyses are performed at all levels of total system product to include: concept, design, fabrication, test, installation, operation, maintenance and disposal.
+ Remove roadblocks for the engineering team and ensure the team is moving towards the defined program goals.
+ Ensure the logical and systematic conversion of customer or product requirements into total systems solutions that acknowledge technical, schedule, and cost constraints.
+ Lead functional analysis, timeline analysis, detail trade studies, requirements allocation and interface definition studies to translate customer requirements into hardware and software specifications.
+ Apply leadership and expertise in RF system design and analysis, digital signal processing, and/or system modeling and simulation to turn customer wants and needs into rapid proof of concept solutions.
+ Define design and technology maturity constraints of the system in accordance with customer specifications.
+ Develop thorough definition of system external interfaces.
+ Define system implementation approach and operational concept.
**Basic Qualifications:**
+ PhD in a STEM field and 9 years of experience or MS degree in STEM field with 12+ years of related experience or BS degree in STEM field with 14+ years of related experience
+ Prior experience converting needs into testable system requirements
+ Experience with algorithm development and/or antenna modeling/RF chain analysis
+ Must have the ability to work in a cross functional team environment
+ Demonstrated proficiency in analytical thinking and problem-solving skills
+ U.S. citizen with ability to obtain an interim clearance
**Preferred Qualifications:**
+ Experience architecting and developing Electronic Warfare RF systems with real-time digital signal processing
+ Understanding of RF propagation modeling, computational electromagnetics, wave theory, antenna design, and RF chain analysis.
+ Experience turning concepts into reality through MATLAB implementation of new technologies
+ Experience working on Department of Defense contracts
+ Knowledge of C, C++, CUDA, VHDL, OpenCL, SysML, Python, or other scripting languages
+ Experience with Model Based Engineering (MBE) concepts and tools (Rhapsody)
+ Working knowledge of DOORS and ClearCase/ClearQuest
+ Recent experience creating integration and test, design verification test and flight test plans and procedures
+ Experience in an integration and test environment for complex electronic systems evaluation
+ Experience in development and management of cost accounts and schedules as related to Systems Engineering tasks
+ Recent experience creating integration and test, design verification test and flight test plans and procedures
+ Working knowledge of one of more of the following specialty areas for integration and test related to RF systems: sensors/antennas, transmitters/receivers, detection, and tracking
**Salary Range:** $157,500 - $236,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-LA-Belle Chasse) F-18 Flight Operations 42024-03-16T06:15:24-04:00https://northropgrumman.jobs/2CC99D4955BC40428070FBECBC2BFCE826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At **Northrop Grumman** , our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
We are currently seeking a **Flight Operations Technician 3** based in New Orleans, Louisiana. In this position, you will perform maintenance operations on F-18 aircraft, F-18 aircraft equipment, F-18 engines, F-18 components, and systems in accordance with DOD, manufacturer, company, supplier and other directives in support of aircraft modification.
**Basic Qualifications:**
+ Must have a High School Diploma or equivalent (GED) and at least 8 years of aircraft maintenance experience.
+ Must be able to obtain a DoD Secret level security clearance once hired; and have the ability to maintain that clearance for continued employment.
+ Able to read and interpret schematics, assembly drawings, process specifications, technical manuals, written instructions, test procedures, etc.
+ Able to perform assigned duties on all shifts without encumbrances due to weather or physical location and conditions.
**Preferred Qualifications:**
+ F18 aircraft maintenance experience
+ A&P license
+ U.S. Navy or USMC background
+ Active Secret DoD clearance
**Salary Range:** $64,700 - $107,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Sykesville) Principal or Senior Principal Embedded Software Engineer2024-03-16T06:15:24-04:00https://northropgrumman.jobs/9CB438F1D3F64759AF883D7B637714B026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
We are looking for you to join our team as a **Principal or Senior Principal Embedded Software Engineer** based out of Sykesville, MD.
**What You'll get to Do:**
+ Work with a multi-function engineering team developing control systems for the Navy.
+ Develop embedded C++ code for VxWorks and Xilinx FPGA.
+ You will analyze requirements, design, implement, integrate, and verify the software.
+ Some of the software is safety critical and will require enhanced testing and documentation.
The ideal candidate is expected to be self-motivated, highly organized, and detail oriented and possess effective verbal and written communication skills.
**Basic Qualifications for Principal Embedded Software Engineer:**
+ Bachelor's degree or higher in Computer Engineering, Computer Science or other related technical area with 5 years of related experience; or a Master's degree with 3 years of related experience; or a PhD with 0 years.
+ Proficiency in C++ required.
+ Experience with full software lifecycle: specification, design, implementation, integration, debug, and maintenance required.
+ Familiarity with a structured process driven software development environment including peer reviews of all work products, using a revision control system, defect tracking, and build release practices required.
+ Good communication and documentation skills required.
+ US citizenship required.
**Basic Qualifications for Senior Principal Embedded Software Engineer:**
+ Bachelor's degree or higher in Computer Engineering, Computer Science or other related technical area with 9 years of related experience; or a Master's degree with 7 years of related experience; or a PhD with 4 years.
+ Proficiency in C++ required.
+ Experience with full software lifecycle: specification, design, implementation, integration, debug, and maintenance required.
+ Familiarity with a structured process driven software development environment including peer reviews of all work products, using a revision control system, defect tracking, and build release practices required.
+ Good communication and documentation skills required.
+ US citizenship required.
**Preferred Qualifications:**
+ Experience with Safety Critical software development is a plus.
+ Experience with Linux and VxWorks is a plus.
**Salary Range:** $102,400 - $153,600
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Associate Physicist Engineer2024-03-16T06:15:24-04:00https://northropgrumman.jobs/ED43B8071C6D449AA305DAA22EE1902526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is a trusted provider of mission-enabling solutions for global security. Our Engineering and Sciences (E&S) organization pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
As an integral part of our Emerging Capabilities Development (ECD) disciplined team you will be responsible for optimizing the way we work through modeling and simulation applications, enhancing communication and collaboration across functions and throughout the system life cycle.
**Roles & Responsibilities:**
+ Modifying and perform simulations of radiation detectors, particle accelerators, and fixed target beamlines.
+ Assemble, build, qualify, operate, and commission novel ionizing radiation detectors.
+ Join a team developing automated data acquisition software for the readout of ionizing radiation detectors.
+ Acquire and analyze data from ionizing radiation detectors.
**Basic Qualifications:**
+ Bachelor's degree with 6 months of experience in Science, Technology, Engineering or Mathematics, or related technical fields.
+ US Citizenship is required.
+ The ability to obtain DoD Top Secret clearance and Special Program Access (SAP) after hire.
+ Experience as a software developer using C/C++ and/or python.
+ Experience working in a Unix/Linux environment.
+ Experience working on large cross-disciplinary teams.
+ Experience taking the initiative, finding solutions, and moving forward without direct supervision.
**Preferred Qualifications:**
+ Advanced degrees in Electrical Engineering, Computer Engineering, Computer Science, Applied Physics, Applied Mathematics, or related technical fields.
+ Active DoD Secret or higher Clearance
+ Experience operating and commissioning of photodetectors (PMTs, SiPMs, HPDs, APDs, etc...)
+ Experience constructing, operating, qualifying, and commissioning water Cherenkov detectors.
+ Experience designing data acquisition software frameworks for laboratory instruments in C/C++ and/or python
+ Experience designing data acquisition software frameworks for ionizing radiation detectors in C/C++ and/or python.
+ Experience with subversioning tools such as git, svn, etc.
+ Experience with radiation effects simulation and analysis.
+ Experience working in a laboratory environment; specific experience with oscilloscopes, photomultiplier readout systems, power supplies, etc.
+ Experience with Physics-based Model or Simulation Development
This position is contingent upon funding and the ability to obtain/maintain DoD Top Secret Clearance and Special Program Access (SAP) after hire.
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Salary Range:** $68,800 - $103,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Corinne) Engineering Technician 22024-03-16T06:15:23-04:00https://northropgrumman.jobs/C5DBC85BEDAD43A7A0DBBD7A279AFD4926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Propulsion Systems is looking for an **Engineering Technician 2** to support Promontory Test and Research Operations at our **Promontory, Utah** plant. The plant is located near **Brigham City, Utah**
**Job Description:**
Successful candidate will perform energetic sample setup, material synthesis, assembly, and manufacture and configuration of energetic articles in support of multiple developmental programs. This includes working with energetic materials and the fabrication and assembly of pyrotechnic, propellant, and explosive articles. Candidate will be required to review and implement test plans, drawings, specifications, and planning in support of various development projects. Skills needed include strong working knowledge and experience in majority of the following areas: sample fabrication and installation, operation of specialized operating equipment (data acquisition systems; high speed and low speed, real-time and high-speed camera systems) and tooling, handling operations, crane and forklift operations and safe use of various chemicals and explosives. Implementation of continuous improvement using 5S and Lean Manufacturing principles will be required. Job function requires use of standard computer skills. Must have experience in set-up, fabrication, and troubleshooting of mechanical systems. Must have strong problem solving, troubleshooting skills and be willing to be outdoors all year in inclement weather.
**Requirements:**
• Must be able to qualify for an Alcohol Tobacco and Firearm (ATF) "Explosives Possessor" designation
• Must be able to qualify for a Department of Defense security clearance
• Must be disciplined to follow detailed instructions and comply with procedures
• Excellent written and oral communication skills to create clear and understandable documentation
• Computer skills in Word, Excel, PowerPoint, database applications and data acquisition systems; high and low speed
**Preferred Requirement:**
• CDL Class C with HAZMAT endorsement
• Experience with photography and video; real time and high speed (Phantom)
• Experience with handling and arming ordnance
• Experience with data acquisition systems; high speed and low speed (DEWEsoft, Genesis, etc)
•
**Education/Certifications:**
• Associate degree in a technical field or at least 2 years of related experience in lieu of a degree
**Salary Range:** $44,800 - $74,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(AUS-Amberley) Technical Services Officer2024-03-16T06:15:22-04:00https://northropgrumman.jobs/E169EE609D1F40D88CCD49139F99ED1826We're building a reputation as the place to be in Defence. With strong local management, sound investment and a fresh approach, we're on an exciting trajectory and building unique capability. Leveraging the kind of leading edge US capabilities and technology most can only imagine, our close-knit 800 strong team is busy delivering World-leading solutions to safeguard Australia's future. And, together, we're growing a business where everyone contributes and everyone matters.
Northrop Grumman Australia partners with the Royal Australian Air Force to provide through-life-support for its fleet of 10 C-27J Spartan Airlifter aircraft. The C-27J complements the Australian Defence Force's existing Air Mobility fleet and provides airlift of people, equipment and supplies in Australia and our region.
**Your Role:**
Due to our continued growth, we are seeking a **Technical Services Officer** to join our **C-27J** team. As a Technical Services Officer, your main responsibility will be to collaborate with SMEs, functional leads, customers, and management to gather data and create accurate high-quality technical outputs such as Technical Orders, Deviations, Modifications and Special Technical Instructions. You will gather data from various engineering, military, regulatory, and manufacturer sources, conduct research, and ensure that the outputs are understandable and meet company standards and relevant procedures.
Familiarity with DASA/CASA regulations and experience in developing technical outputs are essential.
The ideal candidate will have an aircraft aviation trade/engineering qualification, proven skills in writing technical instructions for aircraft maintenance, and proficiency in using MS Office Products and engineering/document management systems.
If you are detail-oriented and passionate about producing accurate technical documentation, join our team as a Technical Services Officer. Make a valuable contribution to our organisation by creating technical outputs that adhere to industry standards and support our success.
**What we Offer:**
Whatever your role, life stage or background, you can shape your career here in your way with highly challenging work, great colleagues and career development, plus a range of flexible benefits and working patterns.
+ Professional Development - further education, leadership development, professional industry memberships and unlimited access to a range of online training
+ Purchased annual leave
+ Salary packaging including novated car leases
+ Generous paid parental leave
+ Volunteer Leave
+ Health & Wellbeing program
+ Employee Assistance Program
**Everyone Matters:**
Doing the Right Thing and Sharing Success are two values underpinning how we behave at Northrop Grumman. Here, everyone matters and we proudly encourage individuals from all backgrounds and all abilities to apply to join our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.
As a Defence security clearance is required for this role, you must be an Australian Citizen. International Traffic in Arms Regulations (ITAR) are applicable, as such your nationality may be a factor in determining your suitability for this role. You will also need to satisfy pre-employment drug & alcohol testing, police checks and employment screening verification
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.(USA-CO-Schriever AFB) Systems Integrator 22024-03-16T06:15:21-04:00https://northropgrumman.jobs/A2A9473752E44D6D9D361347EEE1D6FB26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Sector is seeking a Systems Integrator to join our team in Colorado Springs, Colorado. The qualified applicant will become part of Northrop Grumman's Specialized Warfighter Development Contract (SWDC) on the Warfighter Simulations and Services (WSS) ART. The WSS ART offers opportunities to support the U.S. Missile Defense System through the development of Modeling and Simulation applications used in warfighter training and exercises, concept evaluation, developmental engineering, testing and verification, and assessment.
This position requires an engineer with experience in systems integration, script writing, developing models & simulations of DoD components, and gathering user feedback to communicate back to the development team. The job location is in Colorado Springs with most of the work being performed at Schriever Space Force Base (SSFB).
Candidate will work with a team of integrators, systems engineers, analysts, test engineers, and software developers in support of Missile Defense Agency's events and exercises. Primary responsibilities include working with internal and external stakeholders to develop models and make decisions based on those models. Attend regular meetings and conferences to obtain useful information for modeling. Develop, verify, and validate the models. Write or modify PowerShell scripts and/or Excel macros.
**Basic Qualifications**
Candidates without current Secret clearance cannot be considered.
+ Bachelor's degree in a technical discipline or a related technical degree and 2 years related experience, 0 years' experience needed with a Masters' degree.
+ DoD Secret clearance with ability to obtain TS/SCI
+ Ability to work on a team.
+ Ability to travel
+ Ability to work on a military base.
+ Experience developing models and simulations of DoD components.
+ Verification & Validation of the models.
+ Experience extrapolating useful data from whitepapers and Quad charts.
+ Ability to write or modify PowerShell Scripts and Excel macros.
+ Must have strong written, verbal, and presentation communication skills.
Preferred Qualifications
+ Experience with MDASim.
+ Experience as a MDASim modeling SME for cross-team collaboration.
+ Experience in Atlassian Tool Suite.
+ DoD TS/SCI clearance.
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment that encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family. Your benefits will include the following:
Health Plan
Savings Plan
Paid Time Off
Education Assistance
Training and Development
Flexible Work Arrangements
https://benefits.northropgrumman.com/us/en2/BenefitsOverview/Pages/default.aspx
NGSpace
COSpace
NGFeaturedJobs
**Salary Range:** $79,300 - $118,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-LA-Lake Charles) E-6B IMMC SCA Electronics Maintenance Tech 3 Avionics Technicians Days Nights2024-03-16T06:15:19-04:00https://northropgrumman.jobs/CCE6CC47D7C24D018E4B004314B73F0E26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Are you ready to put your experience to work at** **Northrop Grumman? If so, our Defense Systems wants you to join our Team as a SCA Electronics Maintenance Tech 3/Avionics Technician and support a maintenance program with Northrop Grumman in Lake Charles, LA.**
**The Navy E-6 is an airborne command post and communications relay based on the Boeing 707 platform The aircraft are utilized primarily for long-range patrols along the entire U.S. border, and in source and transit zone countries. This platform, now modified to the E-6B standard, conveys instructions from the National Command Authority to fleet ballistic missile submarines, a mission known as TACAMO ("Take Charge And Move Out").**
****These positions are Service Contract Act positions.**
****These positions will be hired on 1st shift, then may transfer to 2nd shift after training.**
**Your duties include:**
+ **Applies advanced technical knowledge to solve complex problems that typically cannot be solved solely by referencing manufacturers' manuals or similar documents. Examples of such problems include determining the location and density of circuitry, evaluating electromagnetic radiation, isolating malfunctions, and incorporating engineering; changes.**
+ **Use your understanding of the interrelationships of circuits, exercising independent judgment in performing such tasks as making circuit analyses, calculating wave forms, and tracing relationships in signal flow, using complex test instruments such as high frequency pulse generators, frequency synthesizers, distortion analyzers, and complex computer control equipment.**
+ **Installs, tests, troubleshoots and repairs aircraft avionics and electrical system components in accordance with testing, engineering, maintenance and installation directives.**
+ **Performs aircraft maintenance, repair, modification, installation, and testing tasks.**
+ **Determines the sequence for installing, removing, maintaining, repairing, or fabricating critical aircraft components, or electrical/electronic, mechanical, or instrumentation systems.**
+ **Performs testing, rework and repair in various fields of specialization.**
+ **Applies shop theory and skills in accomplishing assigned tasks working from incomplete engineering, electronic data, blueprints, sketches, engineering drawings, technical orders, and written and verbal instructions.**
+ **Works from blueprints, engineering orders, schematics, repair manuals, and other technical documents on aircraft electrical/electronic, mechanical, plumbing, and hydraulics, power plant, structures, or instrumentation systems.**
+ **Constructs, troubleshoots, calibrates, adjusts, tests, and maintains various types of complex aircraft equipment, components, devices, or systems.**
+ **Notifies lead personnel or supervision of any / all malfunctions, defects, and damages of equipment, material, and product..**
+ **Work in other fields incidental to, and in connection with the completion of the assignment.**
+ **Recommend and implement continuous improvement activities in production methods, equipment performance and team effectiveness**
+ **Work may be reviewed by supervisor for general compliance with accepted practices. This position may provide technical guidance to lower level technicians.**
**Basic Qualifications:**
+ **High School Diploma or equivalent (GED) with 10 years of Aircraft Avionics Experience**
+ **Able to perform work in an approved environment during specified work hours**
+ **Able to change physical locations based upon need (including physical ability to travel)**
+ **Ability to be subject to a variety of physical conditions**
+ **Able to wear respirator or other safety gear**
+ **Ability to be subject to areas which could cause various phobias due to height or closed spaces**
+ **Able to use a variety of manual hand tools such as crimpers, strippers, wire cutters, heat shrink gun, etc.**
+ **Able to obtain/maintain a Top Secret DoD clearance**
**Preferred Qualifications:**
+ **E-6B experience.**
+ **Experience performing new modifications/testing.**
+ **Experience implementing and / or developing new test procedures** .
**Salary Range:** $34 - $37
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Stafford) Principal Software Engineer/Sr. Principal Software Engineer (Sign on Bonus of up to $30k)2024-03-16T06:15:19-04:00https://northropgrumman.jobs/D7A05D979CA4434593183CF7BB50A37426At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
Northrop Grumman Space Systems sector is seeking a Principal/Sr. Principal Software Engineer to join the Payload and Ground Systems Division. Our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come.
**What You Will Do:**
• Perform website programming in Visual Basic and write T-SQL queries
• Perform System or Database Administration for the following:
+ Windows Server configurations and version upgrades
+ COTS upgrades
+ Domain Controllers
+ Microsoft IIS
+ Microsoft SQL Server
+ Microsoft Team Foundation Server
*** This role will require travel to Bolling AFB.**
**Basic Qualifications:**
+ Principal Engineer: Bachelor's + 5 years of exp: Master's + 3 years of exp; PhD + 0 years of exp; 9yrs of exp in lieu of a degree
+ Sr Principal Engineer: Bachelor's + 9 years of exp; Master's + 7 years of exp; PhD + 4 years of exp; 14yrs exp in lieu of a degree
+ **TS/SCI clearance with active Poly REQUIRED.**
+ Must be able to obtain a Counterintelligence Polygraph (CI-Poly)
+ Experience with Microsoft SQL Server
+ Software Development/Code Maintenance in support of a Microsoft IIS-hosted website with a Microsoft SQL Server database back-end: TSQL query development.
**Preferred Qualifications:**
+ TS/SCI with active CI poly
+ Security+ certification is preferred.
+ Visual Basic / .Net Programming
+ Experience with Visual Basic is a plus.
+ Windows Server configurations and version upgrades
+ COTS upgrades - Domain Controllers
+ Microsoft IIS
+ Microsoft Team Foundation Server
+ Amazon Web Services (AWS) - support migration to AWS managed services
Salary Range Principal Engineer: $104,600 - $157,000
Salary Range Sr. Principal Engineer: $129,700 - $194,500
**6% Shift Differential available for all core hours after 2pm.**
Relocation Available
**Sign On Bonus of up to $30,000 available**
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
**Salary Range:** $107,300 - $160,900
**Salary Range 2:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Industrial Security Analyst-22024-03-16T06:15:18-04:00https://northropgrumman.jobs/82383CA536ED494A8AE6624BDED81BA326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is seeking an **Industrial Security Analyst/ACPSO** to join our team of talented and diverse individuals supporting the Sentinel program at our **Roy, UT** location. This position will support the SAP industrial security PERSEC (personnel security) team.
The responsibilities may include but are not limited to the following:
+ Develops and administers security programs and procedures for classified or proprietary materials, documents, and equipment.
+ Studies and implements federal security regulations that apply to company operations.
+ Obtains rulings, interpretations, and acceptable deviations for compliance with regulations from government agencies.
+ Prepares manuals outlining regulations, and establishes procedures for handling, storing, and keeping records, and for granting personnel and visitors access to restricted records and materials.
+ Conducts security education classes and security audits. Investigates security violations and prepares reports specifying preventive action to be taken.
+ Responsible for accurate data input into an access control database.
+ Maintain document control inventories.
+ Reconcile multiple databases for accuracy.
+ Provide briefings/debriefings to personnel for activities such as: new hires, terminations, foreign defensive travel.
+ Coordinates escort activities within closed area.
+ Interface with Program Management Team, Customer, and suppliers.
+ Write security operational plans for program implementation.
+ Responsible for TS inventory and self-inspections.
**Basic Qualifications:**
+ 3 years of relevant security experience with Bachelor's; or 1 year of relevant security experience with Master's. In lieu of a bachelor degree, an additional 4 years of applicable work experience may be considered.
+ Candidate must have and be able to maintain an Active U.S. Government security clearance at the **Secret** level with a background investigation date within the last 6 years.
+ Ability to obtain and maintain Special Access Program (SAP) approval within a reasonable period, as determined by the company to meet its business needs.
**Preferred Qualifications:**
+ Possess a thorough understanding and working knowledge of NISPOM, DoDM 5205 series, and ICD security standards as well as OPSEC, personnel, industrial, cyber, physical, Information Security principles.
+ Understanding and familiarity of DD-254 Implementation Requirements.
+ Demonstrated positive working relationship with internal and external customers.
+ Proficient with NISS, DISS, JADE and SIMS.
+ Excellent customer service and communication skills.
+ Ability to work independently and follow projects through to completion.
+ Ability to maintain flexibility to deal with changing priorities and deadlines.
+ Strong working knowledge of basic office automation tool suites such as MS Office (Word, Excel, PowerPoint).
**Salary Range:** $62,200 - $93,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Program Manager 22024-03-16T06:15:18-04:00https://northropgrumman.jobs/B486DFA70BED45DE9A3B7207C9DC041026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems has an opening for a Program Manager 2 to join our team of qualified, diverse individuals within our Program Management organization. This leadership role is located in Palmdale, CA.**
The Program Manager 2 will be responsible for supporting aspects of product, build and program execution on this restricted program including having responsibility for the cost, schedule and technical performance, which will include the following responsibilities:
**Key Responsibilities:**
+ Responsibilities will also include change management, risk management, and initiatives supporting financial terms/conditions for this contract.
+ You will support program activities, participating in program review sessions with the customer to discuss cost, schedule, and technical performance. You will also interface with the program customer on a regular basis
+ You will support milestone execution and monitor adherence to master plans and schedules, identifies program problems and obtains solutions, such as allocation of resources or finding work around plans
+ You will provide direction and guidance to the employees assigned to the program in the areas of technical, manufacturing, and administrative.
This position will be filled with a 9/80 (1st shift) or a Split Shift (12-10pm Monday-Thursday), We offer phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement, and respond vigorously to change.
**Basic Qualifications:**
+ Must have a bachelor's degree AND 6 years of related professional/military experience in aerospace, military or other relevant industry experience, OR a Master's Degree AND 4 years; of related professional/ military experience in aerospace, military, or other relevant industry experience.
+ Must have 2 years of prior management/leadership experience.
+ Must have an active DoD Secret security clearance (US Citizenship required) with the ability to obtain Special Program Access (SAP) prior to starting
Preferred Qualifications:
+ 5 or more years of leadership experience in a team environment - Active Special Program Access (SAP)
+ Program management experience in a defense or production industry - Experience in Manufacturing, Operations, Manufacturing Engineering, Industrial Engineering, Global Supply Chain, or Project Management
+ Financial experience in EVMS or similar cost and schedule management systems
**Salary Range:** $151,900 - $227,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Lemoore) CLS - F-35 Aircraft Mechanic 3 / Low Observables (Lemoore CA)2024-03-16T06:15:18-04:00https://northropgrumman.jobs/D74AF64628FF436297B817AC4823753926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems (NGDS), F-35 Sustainment HPSI Operating Unit, has an opening for an **Aircraft Mechanic 3,** to join our team of qualified, diverse individuals. You will be assigned as a general mechanic specializing in **Low Observables,** supporting Nose to Tail (NTT) F-35 organizational maintenance at **NAS Lemoore, CA** . Your Mission will be to support O-Level maintenance on F-35C.
**Your duties and responsibilities include (but are not limited to) :**
+ Prepares composite or metallic surfaces for coating applications by performing various operations such as sanding, stripping, applying vacuum, performing porosity testing, masking, and other preparation tasks. Mixes and applies sealants, gap fillers and any other required processes prior to paint which may require the use of heating devices. Mixes and applies paints and other coatings at the proper spraying consistency. Applies additional surface details to manufacturer specifications. Performs or coordinates component-level testing for specification compliance after applications have been completed.
+ Performs Maintenance, Repair, Launch, and Recovery activities on F-35 aircraft. Ensures aircraft are in exceptional mechanical condition and safe for flight by performing maintenance, inspections, and servicing requirements on all aircraft systems in accordance with JTD and all applicable program and service guidance.
+ Performs and/or assists with Field-Level Modifications and TCTD maintenance actions.
+ Maintains and updates aircraft records and status within the Computerized Maintenance Management System (CMMS) of ALIS. Keeps the production staff updated on aircraft status.
+ Performs required Airframe and Powerplant General (APG) tasks as part of F-35 Contractor Logistics Support (CLS).
+ Maintenance tasks include, but are not limited to, configuring aircraft for modifications, removal and installation of attaching hardware, component removal and installations, assistance with software uploads, various system operational checkouts.
+ Technical requirements also include fault isolation, troubleshooting, and repair of system failures detected during operational checks associated with maintenance and restoration of aircraft to flying condition after accomplishment of modifications and maintenance.
+ Interprets and uses various test equipment and works from wiring diagrams, engineering drawings, job guides, and maintenance manuals.
+ Applicant will be required to obtain special certifications (example: confined space, borescope, etc.) as locally required.
+ Must be able to obtain a flightline driver's license competency card and maintain qualification throughout duration of employment.
+ Assists with OJT training for team members and partner aircraft maintenance personnel.
+ Must be willing to deploy/travel to fulfil customer's operational commitments.
+ Performs other duties as assigned by the Aircraft Maintenance Manager.
+ Must be able to obtain Hydraulic Contamination and IPP qualifications within 12 months of position start date and maintain qualification throughout duration of employment.
+ **Must be willing to work a flexible work schedule that may include extended shifts, holidays, and weekends.**
+ Must be willing to provide temporary support to our other CLS locations and/or emerging deployments.
**Basic Qualifications :**
+ High School Diploma or GED and a minimum of 4 years of aircraft Low Observables experience.
+ U.S. Citizen
+ Must have a current DoD Secret Clearance or higher and the ability to maintain.
+ Must be able to obtain Special Access Program clearance. This position is located at a facility that requires special access.
+ U.S. Air Force 5 or 7-skill level, U. S. Navy, or U. S. Marine Corps CDI/CDQAR NEC, or equivalent FAA Airframe and Power Plant (A&P) Certification.
+ The individual must be able to work first, second, third, or weekend shift, as directed by the Aircraft Maintenance Manager.
+ Able to meet the physical demands of this position, including regularly required to lift up to 50 pounds and perform repetitive movements, work overhead, use hands to grasp, handle, and/or feel, reach. The employee is frequently required to stand, walk, stoop, kneel, climb, squat, crawl, and twist.
**Preferred Qualifications :**
+ **6 or more years** of F-22, F-35 or 4th generation aircraft Low Observables experience.
+ U. S. Navy, or U. S. Marine Corps experience with thorough understanding of F-35 Fighter Aircraft Systems and operations under COMNAVAIRFORINST 4790.2 and 4790.35.
+ F-35 Avionics/Electrical systems troubleshooting and repair experience.
+ Fiber Optic or wire repair and maintenance experience.
+ Aircraft modifications and maintenance experience.
+ Ability to read and interpret engineering drawings, blueprints, and technical data. Able to interpret/translate instructions to mechanics/technicians.
+ Experience and knowledge of Autonomic Logistics Information System (ALIS) to include, Anomaly Fault Resolution System (AFRS), Customer Relationship Management (CRM), Joint Technical Data (JTD)Navigation, Portable Maintenance Aid (PMA)/Maintenance Vehicle Interface (MVI) activities, Configuration forms documentation Management and supply chain management activities.
+ FAA Aircraft & Power Plant (A&P) Mechanic license.
+ Experience with Safety, Tool Control, FOD, Supply, HAZMAT, Training, Quality, and Security Programs.
+ Fuel systems trouble shooting and repair experience.
+ Excellent communication skills and experience in a diverse Military-focused Customer Service-Related Industry.
+ Experienced user of Microsoft Office software.
+ Understanding of Nose-to-tail maintenance concept.
**Salary Range:** $60,100 - $100,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Edwards AFB) Sr Staff Flight Test Engineer - Test Conductor2024-03-16T06:15:16-04:00https://northropgrumman.jobs/1B67379F1607470890C4B5750757799B26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems sector has an opening for a Sr Staff Flight Test Engineer - Test Conductor to join our team of qualified, diverse individuals within our Test and Evaluation organization. This position will be located in Edwards AFB, CA.**
The successful candidate will participate in a collaborative environment and support Test planning, execution and analysis in a Combined Test Force (CTF) environment. The employee will apply engineering principles to optimize all aspects of Test to increase the operational effectiveness throughout the Test campaign. The position will afford the opportunity to interact internally across multiple organizations and externally with suppliers and customers. In addition, the candidate will provide expert advice and guidance to team members and valued customers regarding specific technical aspects of systems or concept development.
**Roles and Responsibilities:**
+ Independently analyzes and provides recommendations in procedures to identify and control or eliminate hazards.
+ Develops and evaluates systems, associated support equipment and facilities, networks and information systems to ensure designs meet applicable safety specifications and standards.
+ Applies engineering principles to investigate, analyze, plan, test, or evaluate military weapons systems.
+ Reviews and prepares engineering and technical analyses, reports, proposals, and other technical documentation with regard to Test planning and execution.
+ Reviews architecture, requirements and interface artifacts for safety on complex hardware/ software systems
+ Reviews Test Plans and Procedures for safety requirements verification and potential hazardous operations
**Basic Qualifications:**
+ Must have a Bachelor of Science Degree in Science degree in a STEM discipline AND 14 years of related professional/military experience in Engineering OR a Master's degree of Science in a STEM discipline and 12 years of related professional/military experience in Engineering OR a PhD degree of science in a STEM discipline and 9 years of related professional/military experience in Engineering.
+ Must have Mission Control Room experience.
+ Must have prior leadership experience.
+ Must have the ability to obtain and maintain DoD Secret Clearance.
+ Must have ability to obtain and maintain Program Access (PAR) within a reasonable period, as determined by the company to meet its business needs.
**Preferred Qualifications:**
+ Currently active on a CTF contractor/customer team.
+ Currently active and qualified as a Test Conductor/Director and eligible to be program certified under an Acceptance of Qualification letter.
+ Graduate of military test pilot school
+ Experience with test card development and review.
+ Proficiency in Microsoft Office and Integrated Analysis & Data System (IADS).
+ In depth knowledge of or test experience, to include control room monitoring.
**Salary Range:** $166,500 - $249,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) U109 Multilayer & Material Process Specialist - 5th Shift2024-03-16T06:15:15-04:00https://northropgrumman.jobs/51B69166CD7E441084B078E5FB60456826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a Multilayer Material and Process Specialist to join its Advanced Interconnect and Multilayer Solutions (AIMS) team. This position is located in Linthicum, Maryland.
**Essential Functions:**
The Multilayer Material and Process Specialist will assist engineers in the facility to preform processes for the development and production of printed circuit boards using a variety of process equipment and materials.
+ Set up and run complex process equipment; including but not limited to: automated drilling, chemical plating, cross sectional equipment, imaging and Train, guide other Multilayer Material and Process Specialists and EMS personnel.
+ Maintain process documentation required in the microelectronic and assemblies built in the AIMS facility.
+ Assist engineering in the development and implementation of process improvements.
+ Operate cross sectional equipment, evaluate and interface with engineering for recommendation.
+ Make recommend process improvements to engineering.
+ Set-up and operate a variety of advanced automatic/manual manufacturing and special research equipment with limited instruction and guidance from engineering or higher classified Multilayer Material and Process Specialists.
+ Successfully complete all required training courses.
+ Capture required process data, analyze and make recommendations to engineering.
**This is for an SEA Union represented position.**
**This is for a 5th shift position 7pm-7am (Fri-Sun)**
**Basic Qualifications:**
+ High school graduate or equivalent.
+ Must possess a minimum of six (6) college credits in a laboratory science such as chemistry or physics, computer science, or a related technical field. Military equivalent will be accepted.
+ 2 years' experience in a manufacturing and or laboratory environment or college or military coursework in a related technical field
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Commerce) Maintenance Technician 22024-03-16T06:15:14-04:00https://northropgrumman.jobs/A397C633AB52499A85A7C65CE13D006226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
There is currently an opening for a **Maintenance Technician 2** to join our team of qualified, diverse individuals. The selected candidate will report to the Maintenance Manager and will support repairs and modifications. This position falls under one of Northrop Grumman's Propulsion group and will be located onsite in **Commerce, CA**
**Essential Functions:**
Performs repairs and maintenance in plant or office facilities, or on machines and equipment. Typically performs work in one or more of the maintenance trades: carpentry, plumbing, painting, machine and equipment repair, electrical, sheet metal fabrication, and welding. Conducts routine, periodic, or special inspections to determine repair and maintenance work necessary to prevent breakdowns of facilities, machinery, and equipment. Uses hand and power tools. Tests, inspects, troubleshoots, and repairs machines and equipment. Uses blueprints, sketches, layouts, wiring diagrams, drawings, and specifications.
This requisition may be filled at a higher grade based on qualifications listed below.
**Basic Qualifications: Maintenance Technician 2**
+ High School Diploma and a minimum 2 years' experience working as a maintenance technician.
+ Basic understanding of vacuum, electrical, hydraulic and other systems.
+ Knowledge of general maintenance processes and methods.
+ Working knowledge of tools, common appliances and devices.
+ Manual dexterity and problem-solving skills.
+ Strong electrical skills.
+ Familiarity with PLC's/HMI's.
+ Candidate must be able to work a flexible shift.
**Preferred Qualifications:**
+ An AA degree in mechanical, electrical or industrial maintenance.
**Salary Range:** $48,600 - $81,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(AUS-Pinkenba) Aircraft Maintenance Engineer - Mechanical2024-03-16T06:15:14-04:00https://northropgrumman.jobs/FEBEE44AD7BC4A1EBBE9F130DAD7C2B226Northrop Grumman Australia is one of the top three Defence aviation sustainment businesses in Australia and has been a trusted and committed sustainment and modernisation partner to the Australian Defence Force (ADF) and the Royal Australian Air Force (RAAF) for over two decades.
Our commitment is to continue to deliverer long term support for the KC-30A Multi-Role tanker Transport, C-27J Spartan and Special Purpose Aircraft fleets, whilst also delivering the latest technology and capability in the form of our unmanned vehicles the MQ-4C Triton.
**Your Role:**
At Northrop Grumman Australia, we're more than just part of the aviation industry - we're its pioneers. Our work in defence aviation harnesses state-of-the-art technology, and we're committed to upholding the highest standards of safety and quality in everything we do.
Here, taking ownership is more than a responsibility; it's an opportunity to excel. Whether you're tackling challenges independently or collaborating within a team, your efforts are integral to achieving impactful results.
As a Mechanical Aircraft Maintenance Engineer, you'll be immersed in deep maintenance events, where your skill set becomes crucial to our mission. You'll deliver safe, efficient, and superior-quality maintenance services, playing a key role in maintaining our standing as industry leaders.
Join us and transform your career into a journey of continuous growth and innovation. Apply now and become part of a team where each day brings new challenges and your work leaves a lasting impact.
**What we Offer** :
Whatever your role, life stage or background, you can shape your career here in your way with highly challenging work, great colleagues and career development, plus a range of flexible benefits and working patterns.
+ Professional Development - further education, leadership development, professional industry memberships and unlimited access to a range of online training
+ Options for flexible & hybrid work including 9 day fortnight
+ Purchased annual leave
+ Salary packaging including novated car leases
+ Generous paid parental leave
+ Volunteer Leave
+ Health & Wellbeing program
+ Employee Assistance Program
**Everyone Matters**
Doing the Right Thing and Sharing Success are two values underpinning how we behave at Northrop Grumman. Here, everyone matters and we proudly encourage individuals from all backgrounds and all abilities to apply to join our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.
As a Defence security clearance is required for this role, you must be an Australian Citizen. International Traffic in Arms Regulations (ITAR) are applicable, as such your nationality may be a factor in determining your suitability for this role. You will also need to satisfy pre-employment drug & alcohol testing, police checks and employment screening verification.
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.(USA-IL-Rolling Meadows) Administrative Assistant 42024-03-15T06:15:47-04:00https://northropgrumman.jobs/666E91541F1149958AAF48DC62889DED26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission System's Navigation, Targeting and Survivability (NTS) Division has an immediate opening for an **Administrative Assistant 4** in the **Targeting Systems Operating Unit** . This position will support the Director of Targeting Systems and their staff, support a **Restricted portfolio of programs** and support multiple EWT (Electronic Warfare and Targeting) Business Unit staff to meet overall business objectives. The role will be a **full-time, onsite position** **located at our Rolling Meadows, IL facility.**
As a senior administrative assistant, perform advanced office functions such as setting up and executing virtual and in-person meetings and training sessions, project coordination, managing records, and conflict resolution for leadership scheduling requirements. Reviews drafts and finished documents for appropriate grammatical usage; answers questions relating to office operations and established policies and procedures. Make travel arrangements and process expense reports in a timely manner utilizing corporate web-based tools. Work with customers, executive leaders and other administrative personnel within the division and business management function in support of projects and tasking as required.
**Basic Qualifications:**
+ High School Diploma with a minimum of 6+ years additional education and/or professional relevant experience.
+ Strong proficiency in Microsoft Office Suite (Outlook, Word, Excel, and PowerPoint)
+ Intermediate proficiency in video conferencing tools (Teams, Zoom, etc.)
+ Experience with travel booking and expense report tools (Concur or similar)
+ Experience with purchasing tools (iBuy, SAP, or similar)
+ Prior experience managing calendars and coordinating meetings/events
+ Excellent organizational skills and ability to manage multiple tasks
+ Experience planning and executing virtual and on-site events
+ Project coordination for administrative office initiatives
+ Must have the ability to obtain and maintain **DoD Secret Clearance** and **Special Access Program (SAP) clearance**
**Preferred Qualifications:**
+ Active U.S. Government DOD Secret security clearance, or higher
+ Knowledge of Northrop Grumman policies and procedures
+ Experience as an administrative assistant supporting Director-level leadership
+ Experience supporting and interfacing with Military & Government officials/executives
**Salary Range:** $60,900 - $101,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Engineer RF Microwave Design2024-03-15T06:15:46-04:00https://northropgrumman.jobs/3E97F49564884C7889CB50B76B19581726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**RF Microwave/Mixed Signal Design Engineer**
Northrop Grumman Mission Systems sector delivers a decisive advantage to its customers through software-defined, hardware-enabled solutions. Northrop Grumman Mission Systems (NGMS) in Linthicum enables these hardware solutions by providing RF microwave and mixed signal architecture, design, verification, and support expertise across a wide variety electronic sensor products. Our engineers work on complex, leading edge, high reliability electronics supporting maritime, ground, airborne, and space platforms.
NGMS engineers share a great sense of pride knowing their contributions have a direct effect on the safety and security of the country and its allies. Our culture thrives on intellectual curiosity, cognitive diversity, teamwork, and bringing your whole self to work to accomplish the mission while learning and having fun along the way. The Airborne Multifunction Sensors Engineering and Sciences (AMS E&S) organization is fertile ground for all experience levels to become part of our growing team and contribute to our goals. The department offers opportunities to grow on a technical track both broad and deep as well as explore project leadership or management positions.
AMS E&S is seeking engineers with experience and interest in electronic assembly and packaging design including:
+ Designing, modeling, and verifying RF, microwave, and mixed signal subsystem assemblies
+ Utilize analysis, simulations and prototyping to develop antenna designs including phased arrays
+ Deriving and trading requirements with subsystem engineers and component level designers
+ Managing RF budgets, frequency planning, and common assembly level interfaces
+ Predicting assembly level performance through selecting materials, components, and packaging approaches, RF chain analysis, electromagnetic simulation, data collection and analysis, and other modeling techniques
+ Working directly with component level design peers developing custom RF integrated circuit designs to meet assembly-level requirements
+ Collaborating with peer functions such as Mechanical, Manufacturing, Quality, Supply Chain, and Test
+ Utilizing world class manufacturing capabilities both on-site and within the broader company for prototyping through full rate production from the foundry level to microelectronic packaging to printed circuit board fabrication and assembly to subsystem level integration and test
+ Working directly with a wide variety of different external supplier partners including leading domestic foundries, printed circuit board fabricators and assemblers, component manufacturers, material fabricators, and more
+ Supporting products throughout the product life cycle
+ RF and mixed signal product types include antenna radiators, circulators, device interposers, filters, manifolds, receiver / exciter assemblies, signal conditioning assemblies, signal generators, and transmit / receive assemblies
This is an on-site position located in Linthicum, MD with easy access to the major cities of Baltimore to the north and Washington DC to the south. The location is a 2-hour drive to the Atlantic Ocean to the east or the Appalachian Mountains to the north and west. The area is rich with historical sites, museums, concerts and performing arts venues, one of the nation's top medical facilities, college and professional sports, nightlife, and many outdoor activities most notably boating and fishing on the Chesapeake Bay. The Linthicum campus includes the potential to live in urban, suburban, and waterfront communities within easy commutes and is conveniently located adjacent to the BWI International Airport and an Amtrak rail station.
Basic Qualifications
+ Electrical Engineering or related STEM field degree: Bachelor of Science with 2 years of RF/microwave experience or Master's degree
+ Demonstrate problem solving skills to a variety of RF/Microwave design and test related problems of increasing scope and complexity as assigned
+ Exhibit working proficiency with industry standard RF/Microwave modeling, simulation and printed circuit board design tools (i.e. ANSYS HFSS/Maxwell, CST Microwave, Eagleware/Genesys, Keysight ADS, Xpedition, Altium, FEKO, TICRA)
+ US Citizenship is required
+ Ability to obtain and maintain a DoD Security Clearance
+ Effective verbal and written communication skills
+ Productive in a team working environment
Preferred Qualifications
+ Secret or Top Secret / SCI security clearance
+ RF and mixed signal printed circuit board and circuit card assembly level design
+ RF budgeting, frequency planning, RF chain analysis and modeling, schematic capture, electromagnetic simulation, signal integrity analysis
+ Component selection and/or custom component requirements flow down and trades
+ Ceramic and organic printed circuit board materials, design, fabrication, and assembly processes
+ Integrated Circuit packaging techniques including chip-and-wire, QFN, flip-chip
+ RF lab equipment such as network analyzers, spectrum analyzers, signal generators, power meters, etc.
+ Electronic troubleshooting from component to assembly levels
+ Complex data reduction and analysis
+ Product life cycle support from concept through production support
+ Designing for high reliability, mil/aero requirements and environments
+ AESA / phased array, Radar, SIGINT, or SATCOM experience
+ Technical team leadership
+ Experience in the Aerospace or Defense Industry
+ Software tools: Mentor Xpedition DX Designer, ANSYS HFSS, Keysight ADS, Keysight Genisys, MathWorks MATLAB, Autodesk AutoCAD, and Microsoft Office Suite (Excel, PowerPoint, Project, Word)
**Salary Range:** $83,300 - $124,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Principal Linux Systems Administrator - Polygraph2024-03-15T06:15:46-04:00https://northropgrumman.jobs/43EE4C06CD8A41948044C616EBDE8BC226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Mission Systems sector is seeking a well-qualified Linux Systems Administrator to join its dynamic team of technical professionals in Linthicum, MD. Please note that due to the classified nature of this position, the selected candidate will be required to work on-site, full-time, with time split between our Linthicum, MD and Annapolis Junction, MD campuses, and that this is not a remote work opportunity. Roles and responsibilities will include but not be limited to the following:
+ Perform as a Linux Systems Administrator for a large classified government contract.
+ Able to communicate effectively at all levels of the organization with internal or external customers, in written and verbal format.
+ Maintain efficient operations of the entire IT infrastructure including severs, desktop, and networking equipment.
+ Support multiple networks while ensuring necessary administration tasks are completed as necessary.
+ Analyze internal or external customer requirements and equipment and software requirements for solutions to problems by means of automated systems.
+ Recommend and implement system enhancements that will improve the performance and reliability of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Provide backup and recovery services, manage file systems and disk space, and manage virus protection on a routine basis.
+ Create and maintain user and computer accounts and modify file permissions.
+ Test new releases of products to ensure compatibility and minimize user impact.
+ Develop and maintain technical processes and procedures as needed.
+ Maintain security audit and logging information on all classified networked and standalone computers as directed by the Cyber Security team
+ Report project status as required for all recurring and non-recurring efforts.
**Basic Qualifications:**
+ Associate's degree with 7 years of experience, OR a Bachelor's degree with 5 years of experience, OR a Master's degree with 3 years of experience; a High School diploma or equivalent with 9 years of experience may be considered in lieu of a completed degree.
+ Candidates must have a current Top Secret/SCI level security clearance with a polygraph, to include a closed investigation date completed within the last 6 years, or must be enrolled in the DoD Continuous Evaluation Program (CEP), in order to be considered; the required security clearance must be maintained as a condition of continued employment.
+ Must have the ability to obtain and maintain, access to program as a condition of continued employment.
+ Current IAT Level II certification (ie. Security+) or greater.
+ Experience operating under and managing systems within current applicable information systems compliance standards.
+ Experience maintaining Classified Linux Domains in effective operations to include:
+ Active Directory (AD)
+ Group Policy (GPO)
+ DNS management
+ DHCP and scopes
+ Supporting license managers
+ Supporting golden image deployment and underlying infrastructure
+ Experience working with vulnerability scanning tools.
+ Ability to travel as needed.
+ Ability to lift equipment weighing up to 40 pounds.
+ Ability to work after hours and weekends as needed.
**Preferred Qualifications:**
+ Bachelor's or Master's degree in IS related field.
+ Experience giving technical presentation to technical and non-technical attendees.
+ Experience providing surge support to other programs as needed.
+ Experience working in team of IT professionals.
+ Active vendor-based IT certification.
ESSysAdmin
**Salary Range:** $93,000 - $139,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(AUS-Katherine) Principal Systems Administrator (Australia) Top Secret/SCI2024-03-15T06:15:46-04:00https://northropgrumman.jobs/B9062A2B57BD4DC2B2751AD5066C055D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
+ Perform as a Windows\VMware System Administrator for a classified government contract.
+ Perform as a Linux\Redhat System Administrator for a classified government contract.
+ Maintain smooth operation of multi-user computer systems, including coordination with network, software, and system engineers, PC desktop technicians, project managers, end users, and customer and IT management.
+ Analyze internal and external customer requirements and determine equipment and software requirements for solutions to problems by means of automated systems.
+ Review recommendations and system enhancements that will improve the performance and reliability of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Provide backup and recovery services; manage file systems and disk space; manage virus protection on a routine basis.
+ Create and maintain user and computer accounts and modify file permissions and security access lists.
+ Test new releases of products to ensure compatibility and minimize user impact.
+ Develop and document technical processes and procedures as needed.
+ Interact, meet, discuss, and troubleshoot issues with vendors; evaluate vendor products, services, and suggestions.
+ Adhere to strict Information Systems security guidelines in all cases.
+ Maintain security audit and logging information on all classified networked and standalone computers as directed by the Information Systems Security Manager (ISSM).
+ Prepare security documentation for input to Computer Security.
+ Report project status as required for all recurring and non-recurring efforts.
+ Work under minimal direction and independently determine and develop approach to solutions.
**This position will be a long-term expatriate opportunity. This position will include Flight Arrangement(s), Household Good Shipment, HOLA, COLA and other incentives for working this assignment overseas** .
**Basic Qualifications** :
+ Bachelor's degree and 6 years of experience, or Master's degree and 1 year of experience; 10 years of experience may be considered in lieu of a completed degree.
+ Active TS\SCI
+ Must be a US Citize
+ Ability to maintain an active clearance.
+ Ability to be cleared to special access programs. -
+ Current Security + CE, or ability to obtain within 6 months of hire date.
+ Experience managing Windows Server OS, Linux flavor OS's and domain architecture.
+ Ability to support multiple networks, ensure necessary administration tasks are completed, and direct others as necessary.
+ Ability to lift equipment weighing up to 40 pounds.
+ Ability to work after hours and weekends as needed.
**Preferred Qualifications** :
+ Experience with STIGs, operating under and managing systems within a Data Center environment.
+ Experience installing, configuring, and maintaining computer hardware in a networked environment.
+ Server management experience.
+ Currently valid Security+ CE, CASP, CISSP or similar security certification.
+ Windows domain architecture experience.
+ Understanding of basic networking, including subnets, routing, and VLANs; Cisco experience and/or certification.
+ Windows AD, LDAP, VMWare, and knowledge of SAN storage systems.
+ Linux Operating System experience and/or certification for current versions.
+ VMWare experience with current version.
+ Rudimentary Scripting experience.
+ Experience with the creation and deployment of system images in an enterprise environment. - Experience with Certification & Accreditation process.
+ DoD 8570 Certification in a primary OS (Windows, Linux, Cisco).
+ Ability to communicate effectively at all levels of the organization, with internal and external customers, in written and oral format.
**Salary Range:** $88,600 - $133,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Redondo Beach) Software Engineer / Principal Software Engineer2024-03-15T06:15:45-04:00https://northropgrumman.jobs/32831E3EEDB246FC8E19EA4C19F0D3E826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
Northrop Grumman Aeronautics Systems is currently seeking an experienced **DevOps Engineer/ Principal DevOps Engineer** to join our team of qualified, diverse individuals. This position will be located in **Redondo Beach, CA** .
**This position may be filled by either a Software Engineer or a Principal Software Engineer level based on the qualifications listed. **
**Essential Functions:**
+ Responsible for planning and evolving processes and infrastructure to execute programs supporting rapid capability maturation and deployment.
+ Integrates development pipelines leveraging automation with test-driven development tools and continuous integration/test frameworks.
+ Define and implement the build, deployment, provisioning, and monitoring standards for the program.
+ Ensures implementation of Software Configuration Management (SCM), code quality, and code coverage with automated testing for Continuous Integration/Continuous Delivery (CI/CD) pipelines.
+ Work as part of an Agile development team to deliver end-end automation of deployment, monitoring, and infrastructure management framework.
+ Build and configure delivery environments supporting CD/CI tools using an Agile delivery methodology.
+ Create scripts and/or templates to automate infrastructure provisioning and management tasks.
+ Work closely with development teams to create an automated continuous integration (CI) and continuous delivery (CD) system.
+ Work together with vendors and other IT personnel for problem resolution.
+ Monitor and support all installed systems and infrastructure.
+ Develop custom scripts to increase system efficiency and lower the human intervention time on any tasks.
+ Contribute to the design of information and operational support systems.
+ Install, configure, test and maintain operating systems, application software and system management tools.
+ Oversee the company's security, backup, and redundancy strategies.
+ Evaluate application performance, identify potential bottlenecks, develop solutions, and implement them with the help of developers.
+ This position can be filled at either a Principal DevOps or a Senior Principal Software Engineer.
**Basic Qualifications:**
+ **(Engineer Software)** Bachelor's degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited university and **2** or more years of experience in software engineering OR a Master's degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline and **0** or more years of experience in software engineering.
+ **(Principal Engineer Software)** Bachelor's degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited university and **5** or more years of experience in software engineering OR a Master's degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline and **3** or more years of experience in software engineering.
+ Has a strong understanding of DevSecOps practices and methodologies.
+ Experience in scripting languages Python and Bash/Shell
+ Experience in using automation tools like Jenkins for CI/CD
+ Experience in repository/artifact management and build integration support (Bitbucket, Nexus Repo)
+ Must possess an Active DoD Secret Clearance to be considered.
**Preferred Qualifications:**
+ Experience with Agile software development framework.
+ Experience in building databases with MySQL or any similar RDBMS
+ Experience with the Atlassian tool suite (Bitbucket, Jira, and Confluence)
+ Administration experience of Linux and Windows Operating Systems
+ Experience of administering virtualized or containerized systems in VMWare and Docker
+ Working practices, such as Git Branching and release schedules
+ Experience of designing, deploying, and troubleshooting networking
+ Experience of secure network architecture design
+ Excellent communication, interpersonal skills, and the ability to interface with all levels of employees and management.
+ Security+ or any related credentials to obtain elevated account.
**Salary Range:** $87,200 - $130,800
**Salary Range 2:** $107,300 - $160,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Staff Engineer Quality2024-03-15T06:15:45-04:00https://northropgrumman.jobs/883F8DF362024F00BF08F703E935080226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems sector is seeking a **Staff Quality Engineer** to join our team of qualified, diverse individuals located in **Palmdale, CA** . Telecommuting/remote is not an option for this position. This position's standard **work schedule is a 4/10 work week** , to allow employees every Friday off.
**Essential Functions:**
Develops, modifies, applies and maintains quality evaluation and control systems and protocols for processing materials into partially finished or finished materials product. Collaborates with engineering and manufacturing functions to ensure quality standards are in place. Devises and implements methods and procedures for inspecting, testing and evaluating the precision and accuracy of products and production equipment. Designs and analyzes inspection and testing processes, mechanisms and equipment; conducts quality assurance tests; and performs statistical analysis to assess the cost of and determine the responsibility for, products or materials that do not meet required standards and specifications. Audits quality systems for deficiency identification and correction. Ensures that corrective measures meet acceptable reliability standards and that documentation is compliant with requirements. May specialize in the areas of design, incoming material, production control, product evaluation and reliability, inventory control and/or research and development as they apply to product or process quality. May be certified in lean and six-sigma quality engineering methodologies.
**Basic Qualifications:**
+ Bachelor's degree in STEM related field and 14 years or Master's degree in STEM or 9 years with a PhD in STEM with related experience in supporting mission/quality assurance, systems engineering, systems integration, software engineering, software development, software test processes, hardware engineering and development or program management.
+ Solid written and verbal interpersonal, communication skills and confidence to brief senior management with a solid foundation in Mission Assurance applications.
+ Good understanding of the specific AS9100 Standards impacting program performance.
+ Current Secret security clearance and ability to obtain program access.
+ Ability to relay and receive information, negotiate and integrate different viewpoints. Ability to apply and/or develop technologies, scientific principles, theories and concepts.
**Preferred Qualifications:**
+ Quality Assurance experience
+ Knowledge of RCCA process
+ Excellent data analysis skills
+ Current Top Secret/SCI security clearance and program access
**Salary Range:** $149,900 - $224,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(AUS-Alice Springs) Operations Support Engineer - 2/32024-03-15T06:15:45-04:00https://northropgrumman.jobs/B9F83C497696410F8ACC2AE55774CA7126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Strategic Space Systems Division (SSSD) is an industry-leading provider for launch systems capabilities serving national security, military, civil and commercial customers. We are built on a heritage of providing innovative, affordable and reliable aerospace and defense products that our customers rely on to achieve mission success. Join the Space revolution and make the impossible, possible.
Northrop Grumman, Strategic Space Systems Division is seeking an **Operations Support Engineers - 2/3** to become a member of the Operations Support Department, working as part of an integrated and globally disperse team. The position requires a flexible work schedule driven by system and operational requirements and is located at a field site in Alice Springs, AU.
****This role may be filled at a level 2 or 3****
**This role requires a two (2) year commitment and the ability to successfully pass a physical and psychological exam. Ability to work odd and/or extended hours covering a 24/7 period and periodic 12-hour shifts.** **A comprehensive overseas compensation package is offered with this position including relocation assistance.**
**Role Description:**
The **Operations Support Engineers - 2/3** perform and oversee operational systems analysis, monitor daily and seasonal mission data trending and operational product improvements. They lead real-time anomaly resolution and recovery activities. OSEs perform a diverse set of tasks in support of real-time operations and operations development:
**Operations Support Engineer regular duties and responsibilities include but are not limited to:**
+ Serve as Responsible System Engineer (RSE)/Performance Monitoring Engineer (PME), review and report on multiple systems performance and trending data
+ Oversee and lead reviews, updates and validation of standard operating procedures, and training material for multiple generations of systems
+ Develop, maintain, and utilize necessary trending and monitoring tools/scripts in perl, python, MATLAB, DCL, etc.
+ Successfully complete and maintain an initial Systems Controller certification and progress to an Advanced Resource Controller certification while supporting a minimum requirement of real-time operations shifts per quarter
+ Review and support factory design working groups and future systems development activities for impacts to system operability
+ Work with a multi-site Enterprise to establish and maintain program processes and standards
+ Utilize agile processes and tools that include Jira, Confluence, and Git, to coordinate departmental tasking
+ Provide and lead 24x7 on-call anomaly support and resolution activities
+ Assist training department in execution of initial controller and upgrade training to include classroom instruction and simulator training
+ Interface with government customers and factory specialists in technical exchanges and question answering forums
**Basic Qualifications for level 2:**
+ Bachelor of Science Degree in a STEM discipline (Science, Technology, Engineering, Math) discipline plus 2 years of relevant technical/engineering experience **OR** Masters of Science Degree in a STEM discipline (Science, Technology, Engineering, Math) discipline plus 0 years of relevant technical/engineering experience
+ Familiarity with trending and monitoring tools/scripts (perl, python, MATLAB, DCL, etc.)
+ Familiarity with LINUX, Perl, MATLAB, AGILE SW development tools
+ Ability to obtain and maintain a DoD Top Secret Clearance, SCI Access and complete a counterintelligence polygraph examination prior to start date
**Basic Qualifications for level 3:**
+ Bachelor of Science Degree in a STEM discipline (Science, Technology, Engineering, Math) discipline plus 5 years of relevant technical/engineering experience **OR** Masters of Science Degree in a STEM discipline (Science, Technology, Engineering, Math) discipline plus 3 years of relevant technical/engineering experience
+ Experience with trending and monitoring tools/scripts (perl, python, MATLAB, DCL, etc.)
+ Experience in the use of LINUX, Perl, MATLAB, AGILE SW development tools
+ Proven leadership experience on a project and/or team.
+ Ability to obtain and maintain a DoD Top Secret Clearance, SCI Access and complete a counterintelligence polygraph examination prior to start date
**Salary Range:** $79,300 - $118,900
**Salary Range 2:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Apopka) Laser Technician 12024-03-15T06:15:44-04:00https://northropgrumman.jobs/04F4E777ED0846379BF484AF4443FEC826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Performs a variety of duties in the electronic, mechanical, electromechanical, or optical areas as related to laser systems. Establishes test setups, conducts testing, and reports data in prescribed formats. Performs inspection, cleaning, bonding, and troubleshooting of laser optical components. Assembles, aligns, troubleshoots, adjusts, tests, and maintains laser components, sub-assemblies, systems, and associated support equipment. Performs electronic fault analysis and repair as related to laser sub-system, system, and drive electronics. Follows appropriate laser safety and laser cleanliness procedures/practices. Ability to produce work that meets quality requirements. The ability to follow manufacturing process plans, make continuous improvement suggestions, work with minimal supervision, show attention to detail, ability to multitask, self-starter, and ability to prioritize work is needed to be successful in this role.
**Basic Qualifications:**
+ HS Diploma or equivalent and a minimum of 2 years of relevant work experience
+ Mechanical, Electrical, or Optical Assembly Experience or Demonstrated Aptitude
+ US citizen or Resident
**Preferred Qualifications:**
+ Manufacturing Experience
+ Optics & Photonics Program Coursework
+ Electrical Engineering Tech Coursework with a focus on analyzing electrical circuits.
***Please note Training on the 1st Shift, the role will be a 1st, 2nd, or 3rd shift position. 10% 2nd shift differential and 15% 3rd shift differential***
MANUMS
**Salary Range:** $38,600 - $64,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Redondo Beach) Principal Human Factors Engineer (Redondo Beach CA)2024-03-15T06:15:44-04:00https://northropgrumman.jobs/5C3F3FDF721B4AB688F9DF70AAFFF34426At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a Principal Human Factors Engineer to join our team of qualified, diverse individuals within our Systems Engineering organization. This role is located in Redondo Beach, CA.
The Human Systems Integration team is seeking a Human Factors Engineer (HFE) to join Northrop Grumman. In this position, the new team member will be part of the team ensuring remotely operated and semi-autonomous aircraft hardware and software systems are operable by US military flight and maintenance crews. This position requires a strong background in Systems Engineering. Job responsibilities include requirements development; trade studies and analyses, design documentation; software interface design/development support; Human Factors analyses and collaboration to facilitate equipment selection, design and arrangement; user and working group collaboration; compliance with specified sections of Military Standards; collaboration across IPTs; and coordination with the customer and user communities.
Essential Functions:
+ Support the evaluation of requirements adhering to Human Factors best practices and specified sections of MIL-STD-1472H.
+ Contributions to Human Factors Analyses (including but not limited to: Task Analyses and Predictive Workload Analyses, Usability studies, Workload, and Situational Awareness surveys).
+ Conduct computer based (NX) and empirical accessibility assessments from both an operator and maintainer perspective.
+ Author/support the program documentation of the HFE processes and products in a format consistent with US Military Data Item Descriptions (e.g. Human Engineering Program Plan, Human Engineering Design Approach Document - Operator, Human Engineering Design Approach Document - Maintainer, Human Engineering Test Plan, Human Engineering Test Report, etc.).
+ Architecture, CONOPS, and use case development using model-based systems engineering methodologies
+ Collaboration with Design Integrated Product Teams (IPTs) to ensure evolving design addresses program needs and adheres to Human Interface design requirements
+ Support Airworthiness analysis, artifact development and compliance summaries.
+ Support / Lead Highly Immersive Virtual Environment (HIVE) motion-capture human modeling analyses.
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
**Basic Qualifications:**
+ Must have a Bachelor of Science degree in a STEM discipline with 5 years of related professional/military experience in Human Factors Engineering, or Masters degree in a STEM discipline with 3 years of related professional/military experience in Human Factors Engineering, or a PhD in a STEM discipline with related academic/military experience.
+ Experience designing and conducting Human Factors studies and analyses
+ Must have the ability to maintain and obtain DoD Secret clearance.
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance.
**Preferred Qualifications:**
+ Active, In-Scope TOP SECRET DoD security clearance with the ability to obtain Program Access
+ Specific Human Factors experience with Usability, Workload, and Situational Awareness and resulting analyses
+ Experience interpreting, applying and tailoring MIL-STD-472H and MIL-STD-46855A
+ Proficiency in writing and executing demonstration & test procedures
+ The ability to clearly and accurately express engineering findings orally and in written technical reports and presentations.
+ Experience with control and handoff of Unmanned Aerial Systems (UAS)
+ Familiar with the interpretation and application of systems safety, personnel survivability, and manpower habitability requirements to system design
+ Experience with CAD Tools (NX and NX Jack Expertise preferred)
+ Experience using IMPRINT or Cameo Simulink for modeling Workload and performing analysis
+ Experience with system engineering processes and tools (MBSE and Cameo Enterprise Architecture preferred)
+ Experience preparing Human Engineering Design Approach documents (HEDAD-O and M)
+ Understand systems and design thinking as well as HMI/HCI design principles and practices
+ Experience authoring Human Engineering CDRLs in accordance with DoD Data Item Descriptions (e.g. HEDAD-M/O, HEPP, HSIPP, HETP/R, etc)
+ Experience interpreting, applying, and/or tailoring MIL-STD-411 and MIL-STD-1787, in support of aircraft design activity
+ Masters or PhD in Human Factors
**Salary Range:** $107,300 - $160,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CT-East Hartford) Manufacturing Operations Manager 2 - Special Programs2024-03-15T06:15:44-04:00https://northropgrumman.jobs/BA2CD86DE4AD4B728D5A9CBCADEAEDCA26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
AOA Xinetics (AOX), a wholly owned Northrop Grumman Space Systems, Payload and Ground Systems Division Strategic Business Unit, designs, develops, and manufactures precision, advanced optical products and systems for the U.S. Government, and defense Intelligence, ISR (Intelligence, Surveillance and Reconnaissance), and Directed Energy. We are seeking a seeking a Manufacturing Operations Manager 2, as the Special Programs Value Stream Leader in East Hartford, CT.
Learn more about AOX at https://www.northropgrumman.com/who-we-are/aoa-xinetics
**Overview**
Reporting to the Director of Operations, the Manufacturing Operations Manager 2 for the Special Programs Value Stream is accountable for complete operational execution, from contract award through delivery to internal and external customers, for all Special Programs manufacturing activity.
Successful candidates will clearly demonstrate strong leadership skills to achieve a sustainable top performance. They will have a track record as an effective communicator and problem solver who is able to develop and maintain good working relationships with internal and external stakeholders and customers. This candidate will demonstrate a commitment to ethics, integrity, and the capability to innovate while challenging traditional ways of doing business.
**Role and Responsibilities:**
+ Responsible for the complete special programs manufacturing and manufacturing engineering effort, including production plans for all assembly and functional testing activities along with manufacturing engineering activities, tools, fixturing, and assembly process documentation.
+ Accountable to meet or exceed the operations safety, quality, delivery, employee, and cost (SQDEC) expectations of internal and external customers across all AOX special programs supported by manufacturing operations. Ownership and accountability for effective hand-offs through all functional and process center areas. Own, execute and continuously improve daily management processes and communicate/escalate key issues to leadership and throughout the organization.
+ Maintain and assist in continued development of operations model and value stream organizational design. Evaluate and implement AOX and business unit operations and product best practices. Implement and maintain operations daily management standards, standard business systems and tools, and align improvement focus with engineering and programs teams.
+ Collaborate and coordinate with master scheduling, other product value streams, process centers, functional leaders (manufacturing engineering, quality, production planning and material control) and business support functions (program managers, finance, contracts, and human resources) to achieve product and site goals via sharing of resources, tools, equipment, and processes.
+ Accountable to meet AOX manufacturing rates and manage overhead and direct labor yield (DLY) rates.
+ Develop value stream hiring plans, onboarding, retention, and training plans in support of business needs.
+ Identify and develop business cases for capital project plans to support of value stream execution and growth, in collaboration with programs and engineering functions, and ensure continuous monitoring of projects and achieve performance/quality, delivery, and budget commitments.
+ Own manufacturing operations resource management, escalation, prioritization, and conflict resolution decisions.
+ Strengthen the operations team through "develop and deploy" operations strategies, providing coaching and personnel development with an intense focus on ownership of leadership and employee development, ensuring disciplined approaches are defined and executed.
+ Continuously review processes and procedures to ensure compliance and identify opportunities for improvement across all areas of the value stream.
+ Support customer proposals through generation of estimates, identification of operational risks, and identification of resource conflicts to ensure defendable basis of estimates for labor and schedule durations.
+ Support all review boards, tiger teams, RCCA investigations, deep dives, and pre-milestone reviews.
+ Serve on and participate in program MRR, PDR, CDR and TRR as required.
**Basic Qualifications:**
+ Bachelor's Degree with at least 9 years operations, engineering, and/or program leadership experience,; OR 7 years' experience with a Masters; OR 4 years' experience may be substituted in lieu of a degree.
+ Requires a proven track record and passion and relentless drive for achieving manufacturing and operational results, financial results, and effective and timely decision making.
+ Root cause problem solving and continuous improvement skills.
+ Technical experience in optical, mechanical, and electrical final assembly, system integration and test.
+ Knowledge and experience with executing process standards and risk management identification and mitigation.
+ Requires experience creating a culture of engagement and inclusion, continuous improvement, and teamwork.
+ US Citizenship required
+ Active Secret clearance, SCI eligible, Top Secret preferred
**Preferred Qualifications:**
+ Bachelor's or Associate's degree in a STEM discipline
+ Experience transitioning products through the product lifecycle from development to full rate production.
+ Bachelor's degree with at least 9 years of experience in operations with a focus in laser electro-optics.
+ Active Top Secret Clearance, SCI eligible
**Salary Range:** $125,500 - $188,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Production Control Manager 2 (MTV and Factory Supply)2024-03-15T06:15:44-04:00https://northropgrumman.jobs/D4FA7248B7164B09A940FA28ACF5333626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
**Northrop Grumman Mission Systems** is seeking a **Production Control Manager 2** ( **MTV and Factory Supply)** to join its **Production Control Team** within the **Manufacturing Organization** . This position is in **Linthicum, Maryland.**
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement, and respond vigorously to change.
**What You'll get to Do:**
+ Direct and coordinate the activities to plan, prepare, issue, and control production schedules, in conjunction with Material Requirements Planning, to meet MRP requirements for the Material to Vendor (MTV) area and supply chain requirements for Commodities cells
+ Manage the controlled flow of material and hardware from receipt into a production area through delivery into inventory
+ Oversee the status of work in process, material availability, and potential production problems to ensure that personnel, equipment, materials, and services are available, as needed
+ Interface with supplier leads to ensure purchased material delivery dates meet factory needs for Commodities cells
+ Manage the priority and scheduling of equipment and personnel, the confirmation of material supply and demand, and the preparation of work order or purchase requests for the production or purchase of components or parts based on a master production schedule, shop load, and inventory requirements.
+ Utilize both internal and external resources, and coordinate interdepartmental activity with quality assurance, manufacturing, supply chain, engineering, inventory control, transportation, etc
+ Provide direction and coaching to production planning, supply chain planning, and material control team members
+ Provide leadership in areas such as manufacturing requirements planning, production scheduling, WIP management and Material Management and Accounting System (MMAS) auditable processes
+ Manage employees in a team-based material organization with a focus on Material-to-Vendor (MTV), Return-to-Vendor (RTV), and supply chain planning activities
+ Provide management support and perform activities in a variety of cross-functional areas including materials planning, total quality management, procurement, supplier quality assurance, finance, materials systems, customer service, etc., to ensure production requirements are satisfied
+ Facilitate self-directed work teams, provide training in core skill areas to teams and peers, and direct interactions with internal customers and suppliers with respect to product planning, problem resolution, and process improvement. Incumbents are generally experienced in one or more areas of the material function
+ Maintain performance metrics and action plans to meet production requirements
+ Perform work in a warehouse environment
+ Complete annual employee engagement and evaluation activities
**Basic Qualifications:**
+ Bachelor's Degree with a minimum of 9+ years of relevant professional experience. Master's Degree with a minimum of 7+ years of relevant professional experience, or 13+ years of relevant professional experience may be considered in lieu of a degree
+ Experience in management or leadership of people and/or processes
+ Strong understanding of manufacturing and production concepts
+ Ability to work on-site in a warehouse environment
+ Strong communication, presentation, and analytical skills
+ Must be a U.S. Citizen with the ability to obtain and maintain a U.S. Secret Level Security Clearance
**Preferred Qualifications:**
+ Bachelor's degree in Business, Math, or Logistics
+ Active Secret Clearance
+ Firm understanding of the fundamentals of MRP
+ Experience working in a union represented environment
+ Experience with production control and manufacturing processes
+ Lean manufacturing and process improvement implementation experience
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
**NGFeaturedJobs**
MANUMS
**Additional Northrop Grumman Information - TABP will manually add** **, if desired**
Northrop Grumman has approximately 90,000 employees in all 50 states and in more than 27 countries. We strive to attract and retain the best employees by providing an inclusive work environment wherein employees are receptive to diverse ideas, perspectives, and talents to help solve our toughest customer challenges: to develop and maintain some of the most technically sophisticated products, programs, and services in the world.
Our Values . The women and men of Northrop Grumman Corporation are guided by Our Values. They describe our company as we want it to be. We want our decisions and actions to demonstrate these Values. We believe that putting Our Values into practice creates long-term benefits for shareholders, customers, employees, suppliers, and the communities we serve.
Our Responsibility . At Northrop Grumman, we are committed to maintaining the highest of ethical standards, embracing diversity and inclusion, protecting the environment, and striving to be an ideal corporate citizen in the community and in the world.
**Salary Range:** $108,900 - $163,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) Aircraft Inspector 2 - Active DoD Secret Clearance Required2024-03-15T06:15:43-04:00https://northropgrumman.jobs/9776FD203C7245FD8E59B7343C376B4726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aerospace Structures Business Unit has an opening for an Aircraft Inspector 2 to join our team of qualified, diverse individuals. This position is located at our Clearfield, Utah facility. Essential Functions: This position involves detailed inspection of composites parts and assemblies throughout the manufacturing process of composite structures
for quality and design verification in a fast-paced production environment. It requires knowledge and experience related to detailed visual inspections, dimensional inspections of machined parts and the use of standard measuring instruments.
**Responsibilities:**
+ Visually and physically inspect aircraft center fuselage structure, system and electrical installations for conformance to engineering requirements using a variety of precision measurement equipment and inspection tools to include micrometers, calipers, ball gages, flashlights, mirrors, ohm meters, laser gauges and depth gages
+ Structure inspections include location, gap verification, shim installation, hole drilling, fastener installation, sealing and coatings
+ System installations include tube, hose, valve, fastener installation, sealing and coatings common to hydraulic, pneumatic and fuel systems
**Job Description:**
Electrical installations include route, clamping, string tie, connector mating, pin insertion, crimping and coatings. Locate, identify and accurately document nonconforming product/material at time of discovery per applicable procedures. Visually and physically inspect rework and repair for compliance per engineering requirements. Mentor technicians on nonconforming product/material discovered during inspection to include engineering requirements, how to identify the nonconformance and process improvement. Develop, promote, and maintain collaborative team environment with fellow inspectors, support organizations and customers. Work well as part of a team and individually to increase quality, efficiency, effectiveness and affordability through process. improvement and other quality control methods
Must be able to pass formal classroom training to include but not limited to hole drilling, sealing, countersinking, and fastener installation.
**Competencies for Success:**
+ Positive individual who is willing to expand current skill set
+ Must be able to work independently and in a team setting
+ Strong interpersonal communication skills with ability to work with others at all levels of the organization
+ Strong attention to detail and accuracy
+ High aptitude for learning
+ Ability to multitask and prioritize
**Basic Qualifications:**
+ High School Diploma or equivalent GED required (education will be verified) a minimum of 2 years of relevant mechanical and/or inspection experience
+ **Active DoD Secret Security Clearance required and the ability to obtain and maintain Special Program Access**
+ Must be able to certify for half and full face respirator
+ Must be able to work flexible and changing shift schedules
+ Must have technical strengths in composite processing, operations, and inspection
+ Must be able to use standard measuring inspection tools/equipment and able to read and understand blueprints, technical specifications and operating procedures
+ Must be able to pass formal classroom training to include but not limited to hole drilling, sealing, countersinking, and fastener installation.
+ This position requires the ability to perform moderate strenuous physical and repetitious work to include: bending, stooping, kneeling, carrying tools, lifting up to 50 pounds and working overhead
+ Must be able to work around composites, epoxies, and sealants for long periods of time.
**Preferred Qualifications:**
+ 2+ years of aircraft structures, systems or electrical experience.
+ 2+ years of aircraft quality experience.
+ Must be able to effectively communicate both verbally and written.
+ Experience with MRB process preferred.
+ Proficient in Microsoft applications
**Salary Range:** $49,900 - $83,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Melbourne) Principal/Senior Principal Human Resources Business Partner - Melbourne FL or Palmdale CA2024-03-15T06:15:43-04:00https://northropgrumman.jobs/B7670C5787EE4FF89025FAF4EC520A1E26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Aeronautics Systems sector is currently hiring a Human Resources Business Partner (HRBP) to join our team of qualified, diverse individuals within our Human Resources organization. This HRBP will be responsible for implementing our human capital strategy in support of the Engineering Homeroom in Melbourne, FL or Palmdale, CA and will require occasional travel to various sites.
The selected candidate will join a team supporting the Engineering homeroom and provide HR Business Partner support to leaders and employees **in a critical Engineering organization** . The incumbent will be the focal point to consult on and assist in the implementation of HR strategy, programs, and policies within their specific client group, including talent identification, performance management, employee relations, compensation, reward and recognition, succession planning, organization and staff development, leadership/bench strength development, staffing, EEO and other areas within the HR body of knowledge.
In addition, the selected candidate will be responsible for project management of HR projects **across multiple client groups** , and must demonstrate the ability to plan, organize, implement, and close multiple projects simultaneously on various topics within HR work streams. The implementation of these programs will be through proficient leadership and project management skills and close collaboration with HR subject matter experts.
The ideal candidate will be able to demonstrate leadership and relationship building skills, a commitment to ethics and integrity, and the capability to innovate while challenging traditional ways of doing business. Skillful verbal and written communication talents are required, and the ideal candidate will have prior success building or enhancing a collaborative, supportive and understanding work environment. The successful candidate will demonstrate how they have supported their prior teams through organization, self-motivation, and task ownership skills, with the ability to accomplish complex duties in a high-impact, time sensitive environment.
This position requires extensive senior leadership contact, and the ideal candidate will possess a track record of leadership and decision-making skills with the ability to realize results in an environment of ambiguity. The incumbent must have cross-cultural awareness and communication skills to be able to effectively interact with a geographically disbursed senior leadership team.
Overview of the role:
+ Consult and assist in the implementation of HR strategy, programs, and policies across client group.
+ Diagnose organizational needs and develop practical, creative/innovative, data-driven solutions to increase team and organizational performance and advance the business strategy.
+ Ensure solutions are effectively implemented and sustained.
+ **Provide support to other client groups as necessary.**
+ **Manage HR projects across multiple client groups.**
Additional background and experience:
+ Ability to drive HR initiatives both at a local level and across various sites and Engineering disciplines
+ Strong relationship building skills; proven track record of building collaborative partnerships as the basis for being able to influence direction and drive change in partnership with HR and Engineering leadership
+ Strong consulting skills; able to dissect the root cause or core problem to solve and bring appropriate solutions and resources to bear
+ Deep talent mindset coupled with practical experience in driving leader development, succession planning and talent retention strategies
+ Demonstrate analytic skills; able to translate business strategy into implications for workforce
+ Inquisitive Mindset - seeks clarity and understanding in order to build the big picture and connect the dots; also dives into the details as necessary
+ Strong technical competence across one or more HR disciplines:
+ Leadership Development
+ Talent Management
+ Employee Relations
+ Key Leadership Traits:
+ Unwavering ethics and values; trusted partner
+ Strong partner and team player; focused on organizational and team success
+ Embraces ambiguity
This requisition may be filled at a higher grade based on qualifications listed below.
Basic Qualifications for a Principal Human Resources Business Partner:
+ Must have a Bachelor's degree AND 6 years of Human Resources experience OR a Master's degree AND 4 years of Human Resources experience
+ Demonstrated experience in prioritizing the workday, balancing multiple projects, and working across multiple deadlines
+ Demonstrated proficiency in Microsoft Excel and PowerPoint
+ Ability to obtain and maintain a DoD Secret clearance and Special Program Access within a reasonable amount of time, as determined by the company to meet its business need
+ Must be willing and able to travel 15-20% of the time
Basic Qualifications for a Senior Principal Human Resources Business Partner:
+ Must have a Bachelor's degree AND 10 years of Human Resources experience OR a Master's degree AND 8 years of Human Resources experience
+ Demonstrated experience in prioritizing the workday, balancing multiple projects, and working across multiple deadlines
+ Demonstrated proficiency in Microsoft Excel and PowerPoint
+ Ability to obtain and maintain a DoD Secret clearance and Special Program Access within a reasonable amount of time, as determined by the company to meet its business need
+ Must be willing and able to travel 15-20% of the time
Preferred Qualifications:
+ Proficiency in Workday
+ Previous HR experience in Aerospace & Defense
+ Current/active DoD clearance
**Salary Range:** $76,600 - $133,100
**Salary Range 2:** $95,000 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Sr. Principal Electronics Engineer - Product Support2024-03-15T06:15:42-04:00https://northropgrumman.jobs/20388FBC93EB4C1EA55A2CA1B84C868626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems is seeking a successful candidate to fill an experienced **Sr. Principal Electronics Engineer - Product Support** within the Mission Systems IPT to join our team of qualified, diverse individuals. The position is located in **Palmdale, CA.**
Responsibilities including (but not limited to) integrating and testing software/hardware systems in complex IT systems. The selected candidate will be working in a fast-paced, dynamic environment working closely with other engineers and technicians in a lab-like environment. The candidate will be supporting a program where application of extensive technical expertise will be required to support a developing system.
Essential Functions:
+ Author and maintain technical installation, configuration, and update procedures
+ Document and maintain system configurations
+ Provide engineering technical support for peer teams
+ Engineer and Test new technical solutions in lab environments
+ Design and implement VMware high-availability virtualized infrastructures
+ Maintain COTS Hardware and Software configuration matrix
+ Script deployments of Microsoft Windows Server and Workstation operating systems
+ Script deployments of Solaris 10 and 11 operating systems
+ Engineer solutions to address new technical requirements and/or bug fixes
+ Implement engineering changes to the system as required
+ Provide expert software, hardware, and system support to on-site end-users.
+ Train end-users.
**Basic Qualifications:**
+ Bachelor's degree in a STEM field with 9 years of relevant experience; OR a Master's degree in a STEM field with 7 years of relevant experience; OR a PhD in a STEM field with 4 years of relevant experience.
+ An active in-scope DoD Top Secret Clearance
+ Ability to obtain and maintain Special Program Access (SAP/PAR). This SAP must be obtained prior to commencement of employment and must be obtained within a reasonable amount of time as determined by the company to meet its business needs.
+ Demonstrated experience with Windows and Unix operating systems
+ Demonstrated experience with VmWare
+ Security+ Certificate for administrators
**Preferred Qualifications:**
+ Mission Planning experience
+ Previous experience with existing Strike Program systems
+ Ability to maintain system configurations
+ Support the IPT with software defect resolution and enhancement efforts.
+ Ability to develop and maintain Microsoft PowerShell scripts
+ Ability to develop and maintain Bash and Python scripts and Microsoft Group Policies
+ Familiarity with storage systems and basic networking
+ Familiarity with Solaris 10 and 11, Oracle OEM
+ Familiarity Microsoft Windows Server, Microsoft Windows, Active Directory, VMware ESXi, VMware vCenter
**Salary Range:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Sr Staff Engineer Quality2024-03-15T06:15:42-04:00https://northropgrumman.jobs/2C69711719554D06A32FBEA4D6B8F10826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a Sr. Staff Engineer Quality to join our Quality and Mission Excellence Compliance team of qualified, diverse individuals located at our **BWI campus in Baltimore, MD.** The Sr. Staff Engineer ensures that finished produce and corrective measures meet acceptable reliability standards and documentation is compliant with requirements. Ma y specialize in the areas of design, incoming material, production control, product evaluation and reliability, inventory control and/or research and development as they apply to product or process quality.
**The Sr. Staff Engineer Quality Engineer:**
+ Applies data analytic skills and performs statistical analysis identify, assess cost, and prioritize the need for process change.
+ Influences change on a broad scale. Develops and implements corrective/improvement actions at the process level. Ensures that corrective measures meet acceptable standards, and that documentation is compliant with requirements
+ Demonstrates considerable innovation in identifying alternative approaches to problems.
+ Leads team members toward the identification, development, and implementation of cost effective, efficient, and technologically driven solutions.
+ Serves as an authority on broad technical areas.
+ Provides technical leadership, direction and mentoring to other technical employees in the application of principles, tools, and techniques to achieve practical end results.
+ Collaborates with engineering and manufacturing functions to ensure quality standards are in place.
+ Serves as organization spokesperson on multiple advanced projects and/or programs that have a direct impact on the sector (both technical and non-technical).
+ Acts as advisor to management, co-workers and customers on advanced technical research studies and applications.
+ Maintains critical external customer contact to understand their technical needs.
**Basic Qualifications:**
+ Bachelor's degree in a related STEM field
+ 14+ years of relevant experience; 12+ Years with Masters; 8+ Years with PhD
+ Ability to obtain and maintain a Secret clearance, US citizenship
+ Excellent written and verbal interpersonal and confidence to brief senior management
+ Foundation in Quality and Mission Excellence applications
+ Ability to work in and lead cross-functional teams to resolve challenging problems and/or issues
+ Working knowledge of SAP, ISE and CADSTAR
+ Strong communication (written/oral) with training skills for all levels of the organization
+ Identify and eliminate functional and organizational roadblocks to the operating system maturity
**Preferred Qualifications:**
+ Familiarity with proposals/estimates and Basis of Estimate (BOE) development
+ Familiarity with Earned Value Management System (EVMS)
+ Familiarity with dispositioning hardware for quality related issues in a manufacturing facility
+ Familiarity with Material Review Board (MRB) process
+ Familiarity with electrical or mechanical design requirements and specifications
+ Green/Black Belt Certified in Lean Six-sigma
+ Data Analytics and Statistical Analysis methods and techniques; Ability to perform quality-related data analysis
+ Experience using Microsoft Excel, Minitab, Tableau or equivalent tools for statistical analysis
+ Familiarity with Drive for Excellence (DFX) supplier quality and sustainment concepts
+ Familiarity with Statistical Process Control (SPC) and Root Cause Corrective Action (RCCA) techniques
+ Certified: IPC-A-600, 610, 620, 601, IPC-6012, Certified IPC Specialist (CIS), J-STD-001, MIL-STD-883 Certified
+ SAP familiarity with Quality Notifications, Production Orders and Purchase Orders
+ Experience with ISO9001 or AS9100 Quality Management System and AS9102 First Article Inspections (FAI)
+ Cost Account Manager (CAM) Training with Baseline and ECAC development experience
+ May be certified in lean and six-sigma quality engineering methodologies.
MAQEA
**Salary Range:** $159,000 - $238,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Sentinel (GBSD) Design Engineering Department Manager - 9544-12024-03-15T06:15:42-04:00https://northropgrumman.jobs/8D57A6C311404813AA7A7A2D3DBBE0C526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **SDS Design Engineering Department Manager** . This position is located in **Roy, UT or Huntsville, AL** .
This role may offer a competitive relocation assistance package.
**What You'll Get To Do:**
+ Excellent communication, mentoring, interpersonal skills, and the ability to collaborate with senior management, peers, and employees.
+ Provides ownership for the people, processes, and tools that support business areas and programs in achieving their missions.
+ Accountability for recruiting, staffing and retaining talent to deliver on the objectives.
+ Supporting proposal work, independent technical reviews, program standup and audits, and special assignments on an as needed basis.
+ Owning and leading improvements across employee engagement, hiring, development, training, attraction, and retention of top talent.
+ Mentor, develop, and train your section managers, and overall employee base.
+ Reviews and analyzes released engineering change data and coordinates changes with engineering, quality, support, manufacturing, and engineering data control activities.
+ Capable of creating and managing high performing teams while providing technical, administrative and management expertise in the day to day activities required to successfully execute complex engineering programs.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
- Medical, Dental & Vision coverage
- 401k
- Educational Assistance
- Life Insurance
- Employee Assistance Programs & Work/Life Solutions
- Paid Time Off
- Health & Wellness Resources
- Employee Discounts
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Job Qualifications:**
**You'll Bring These Qualifications:**
+ Bachelor of Science degree in a STEM (Science, Technology, Engineering and Math) discipline and 8 years of experience; 6 years with Master's; 3 years with PhD.
+ Minimum of 3 years in a formal lead/ management position
+ Active DoD Secret clearance with a reinvestigation date occurring in the last 6 years.
+ Must be a U.S. Citizen and be able to obtain and maintain Special Program Access (SAP). Must have the ability to obtain Top Secret Clearance.
+ At least 1 year of experience working in the Aerospace and or the Defense field.
+ 3 years of experience with CAM and or EVMS.
**These Qualifications Would be Nice to Have:**
+ Master's Degree with 9 years of experience in STEM (Science, Technology, Engineering or Mathematics) preferably an Engineering degree
+ Proven performance as an Engineering Leader in all phases of acquisition, design, integration, and execution. Candidate will require ability to rapidly assess and lend guidance to projects assigned to the organizational group as well as demonstrated mentoring and guidance to engineers.
+ Working knowledge and/or experience across engineering disciplines.
+ Experience on ICBMs
+ Experience creating or growing new sections or departments.
+ Experience managing an engineering team is preferred
+ Familiarity with Agile engineering and Jira
+ Active TS Clearance. Program Access
\#GBSDLeadership
**Salary Range:** $141,900 - $235,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Northridge) Sr Principal Engineer Software Quality2024-03-15T06:15:42-04:00https://northropgrumman.jobs/A2E437AB64D646CD83CFAF481374F29826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of much technological advancement in our nation's history from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Job Summary**
Northrop Grumman Defense Systems is seeking a Software Quality Engineer to join our team of qualified, diverse individuals at our Northridge, CA location. The qualified applicant will become part of Northrop Grumman's Software Quality Engineering team that is engaged with cutting edge technology on a dynamic portfolio of advanced weapons. The Software Quality Engineer performs tasks that contribute to the completion of program execution for one or more programs to meet the requirements of the contracts and business.
Qualified candidates work as part of a team, demonstrate a high level of attention to detail, possess the ability to plan ahead and be customer-support focused. Individuals must also have demonstrated ability to evaluate whether documents, instructions and procedures are being followed during execution and demonstrate strong verbal and written communication skills.
**Responsibilities include:**
+ Represent Software Quality Assurance for all software/firmware/Programmable Logic Device (PLD) development activities
+ Interface with manufacturing, engineering, customer, supplier, and subcontractor representatives to ensure requirements are met
+ Participate in software/firmware /PLD design reviews, defect reviews and code reviews
+ Review software/firmware/PLD design, specifications, and plans for compliance to contract and process requirements
+ Participate in test witnessing including preparations for test events, test monitoring, sign-off on final test reports, and surveillance of integration and testing labs and their activities
+ Review, analyze and report on software/firmware /PLD quality discrepancies related to the product(s) being delivered
+ Review/approve contract deliverables for accuracy and compliance to contract requirements
+ Perform internal audits to company/program requirements and industry standards
+ Investigate problems and recommend preventative/corrective actions, dispositions and modifications
**Basic Qualifications:**
+ Bachelor's Degree in a related STEM field from an accredited university
+ 9+ years of industry experience in Software Quality Assurance
+ Additional industry experience within one or more areas: quality, auditing, manufacturing, engineering and/or software-related field
+ Advanced understanding of CMMI and ISO9001/AS9100 standards
+ Working knowledge of Microsoft Office Tools
+ Strong interpersonal skills
+ Holds Interim Secret or Active Secret DoD Security Clearance (US Citizenship required)
**Preferred Qualifications:**
+ Experience working within various software/firmware /PLD development lifecycles
+ Experience translating high level product requirements into software/firmware requirements and specifications
+ Experience validating/testing software/firmware for compliance to contract requirements and specifications
+ Experience working with suppliers in defining software/firmware requirements and test cases
+ Experience validating supplier's testing of software/firmware run on embedded systems
+ Familiar with object-oriented programming languages, such as JAVA, C++, C#, Ada, or VHDL
+ Familiar with various scripting languages and CM tools, such as JIRA, Python, and MATLAB
+ Familiar with firmware debugging, including analysis of results and regression testing
+ Familiar with version and configuration control of programming files, especially when supplier furnished
**Salary Range:** $120,900 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Sunnyvale) Principal / Senior Principal Contract Administrator2024-03-15T06:15:41-04:00https://northropgrumman.jobs/31D5B86F36DF4601A5FD8E1095B3139526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Machinery Operating Unit is seeking an experienced Contracts Administrator to become a member of the Marine Systems Business Unit's largest program team. The position will report directly to the Manager of Contracts and will serve as the primary contact with our customer, managing a $200M per year contract that delivers precision, high-performance, machinery in full-rate production. The product line is extremely secure with significant backlog and follow-on production supporting the US Navy. This position is responsible for all formal communication with an important strategic customer, ensuring the team holds NG's favorable financial and programmatic baseline. In addition, this responsibility will also include advancing product capability with cost-type technology insertions from time to time.
We are looking for you to join our team as a **Principal or Senior Principal Contracts Administrator based out of Sunnyvale, CA.**
**What You'll Get to Do** :
**Responsibilities include the following:**
+ Lead and coordinate the company response to customer Requests for Proposal (RFPs) to understand Statements of Work (SOW)/contract requirements and advise the program team on risk identification and mitigation strategies
+ Ensure company proposals are fully responsive to prospective customer requirements, prepare proposal submittal documents, and coordinate appropriate levels of internal review and approval. Coordinate and develop responses to fact-finding, evaluation notices, and requests for information (RFIs), to bolster priorities, strengthen support for the proposal, and also identify customer key items or concerns
+ Develop and execute negotiation strategy / plan to establish a framework to arrive at the most beneficial agreement possible between Northrop Grumman and the customer in the quickest timeframe possible
+ Maintain our excellent working relationship with customers to effectively communicate, administer, modify, negotiate and terminate standard and nonstandard contractual agreements
+ Oversee contract performance including schedules and deliverables and complete actions to ensure satisfactory program performance
+ Advise your program team of contractual rights and obligations on your assigned contracts, including interpretation of contract terms and conditions
+ Maintain and update contract documents and official program records, including contractual documents and correspondence
+ Provide direct contract support, review contractual documents to ensure protection of company's contractual/risk posture, satisfaction of contractual requirements and adherence to company policies
+ Research contract issues and prepare analysis with citations
+ Work cooperatively with the technical staff to address customer requests
+ Other contracts related tasks as needs arise
**This requisition may be filled as a Principal Contracts Administrator or a Senior Principal Contracts Administrator.**
**Basic Qualifications for a Principal Contracts Administrator:**
+ Bachelor's Degree with 6 years of experience in contracts, subcontracts, finance, or other business management related function - OR - a Master's degree with 4 years of experience as described above
+ Will consider 10 years of applied experience in lieu of degree requirement
+ Ability to review and analyze RFQ documents and terms & conditions, perform due diligence with cross functional partners, and negotiate directly with customers to advocate for Northrop Grumman positions
+ Understanding of contract change management process
+ Attention to detail and able to manage multiple contracts at the same time
+ Ability to problem solve independently
+ Strong verbal, written, presentation, and interpersonal skills
+ Proficient with MS Office Suite (Outlook, Word, PowerPoint, Excel)
+ U.S. citizen
+ Ability to obtain/maintain security clearance
+ Ability to travel up to 10% of the time
**Preferred Qualifications for a Principal Contracts Administrator:**
Candidates with these desired skills will be given preferential consideration:
+ Recent experience as a Contracts Administrator in the defense industry, particularly with the US Navy, administering platform-level or major sub-system-level contracts
+ Excellent working knowledge of FAR and DFARS
+ Experience researching FAR/DFARS clauses via Acquisition.gov
+ Experience working with fixed price and cost reimbursable contract types
+ Understanding of weighted guidelines
+ Working knowledge of every stage of the contract lifecycle, from pre-award to closeout
+ Experience working with Changes clauses in contracts
+ Pricing and/or finance background with advanced skill in Microsoft Excel (i.e. pivot tables, data tables, sensitivity/what-if analysis)
+ Working knowledge of ITAR/Export Regulations
+ Prior experience using SAP
+ JD or MBA
+ NCMA certifications (CPCM, CFCM, CCCM, or CCMA)
**Basic Qualifications for a Senior Principal Contracts Administrator:**
+ Bachelor's Degree with 10 years of experience in contracts, subcontracts, finance, or other business management related function - OR - a Master's degree with 8 years of experience as described above
+ Will consider 14 years of applied experience in lieu of degree requirement
+ Experience researching FAR/DFARS clauses via Acquisition.gov or other resources
+ Experience with every stage of the contract lifecycle, from pre-award to closeout
+ Ability to independently review and analyze RFQ documents and terms & conditions, perform due diligence with cross functional partners, and negotiate directly with customers to advocate for Northrop Grumman positions with minimal supervision
+ High level of attention to detail and able to manage multiple complex contracts at the same time
+ Excellent contract change management skills
+ Able to work independently to solve complex problems and provide solutions/recommendations to Northrop Grumman leadership for consideration
+ Excellent verbal, written, presentation, and interpersonal skills with experience leading both internal and external meetings in front of executives and customers
+ Proficient with MS Office Suite (Outlook, Word, PowerPoint, Excel)
+ Willing to mentor other contract administrators
+ U.S. citizen
+ Ability to obtain/maintain security clearance
+ Ability to travel up to 10% of the time
**Preferred Qualifications for a Senior Principal Contracts Administrator:**
Candidates with these desired skills will be given preferential consideration:
+ Recent experience as a Senior Principal Contracts Administrator in the defense industry, particularly with the US Navy administering platform-level or major sub-system-level contracts
+ Experience leading proposal efforts from pre-RFQ to contract award
+ Experience working with fixed price, cost reimbursable, and T&M contract types, especially CPIF contract type
+ Experience modeling and negotiating cost and schedule incentives for CPIF proposals
+ Advanced knowledge of FAR and DFARS
+ Experience performing weighted guidelines analsyis
+ Working understanding of risk & opportunity register, cost confidence modeling, and Schedule Risk Analysis (SRA) as they relate to proposal activities
+ Experience being subject to non-advocate reviews (NAR) or "pink team/red team" reviews of proposals
+ Recent experience negotiating and administering $200M+ cost type contracts
+ Experience with change proposals and requests for equitable adjustments (REAs)
+ Pricing and/or finance background with advanced skill in Microsoft Excel (i.e. pivot tables, data tables, sensitivity/what-if analysis)
+ Working knowledge of ITAR/Export Regulations
+ Prior experience using SAP
+ JD or MBA
+ NCMA certifications (CPCM, CFCM, CCCM, or CCMA)
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $88,799 - $133,100
**Salary Range 2:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Human Resources Business Partner 2/32024-03-15T06:15:41-04:00https://northropgrumman.jobs/92CE1DB958CD4CD092362175C964B4F826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman Space Systems has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
The Human Resources (HR) team at Northrop Grumman Space Systems is seeking a Human Resources Business Partner (HRBP) to join our community of committed HR professionals supporting the Sentinel program. Our HR community is composed of incredible people with different abilities, diverse thinking and varied backgrounds who find professional fulfillment in understanding and advocating for employees, supporting the coaching and development of the management team, and solving organizational challenges through our partnership with business leadership. This role will be located at our **Roy UT** location.
**Overview of the Role:**
+ Consult and assist in the implementation of HR strategy, programs and policies across multiple client groups; including talent identification, performance management, employee relations, compensation, reward and recognition, succession planning, organization and staff development, leadership/bench strength development, staffing, EEO and other areas within the HR body of knowledge.
+ Ensure solutions are effectively implemented and sustained.
+ Organize, prioritize, plan, schedule, and execute Business Unit/Division/Sector/Corporate HR projects and initiatives.
**Job responsibilities will include, but not be limited to:**
+ Establishing an intimate understanding of the business, building and expanding relationships with client leadership teams, and creating close partnerships across HR, centers of excellence (COE's), finance, and P&L organizations.
+ Supporting and partnering with HR colleagues in operations, talent acquisition, compensation and benefits, employee relations, diversity and inclusion and organizational effectiveness to support talent strategies.
+ Identifying trends, risks, and opportunities within the organization and developing solutions in partnership with division and matrix leadership, COE and functional HR business leadership.
+ Advising leadership on new ideas and winning practices in the areas of assessment, development, compensation, organizational effectiveness, strategy, on-boarding, change management and communications.
+ Analyzing and concisely presenting information to the management team to enable business growth and sound decision making.
+ Providing business insight through data analysis, research and benchmarking.
+ Playing a key change leadership role in the company's transformation by reinforcing a culture of growth, innovation and calculated risk taking through the application of human capital strategy, tools and processes.
+ Supporting and enabling leaders to make informed decisions about talent and holding their organizations accountable for business results.
+ Project managing multiple HR projects to include: planning, organizing, implementing, and completing the projects simultaneously on topics within various HR work streams.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Basic Qualifications:**
**This role may be filled at either a level 2 or a level 3**
**Basic Qualifications for a Level 2:**
+ Bachelor's degree in Business, Human Resource management, Organization Development, Industrial Organizational Psychology, or related field and 3+ years of experience in HR - OR - 1+ years of experience with a Master's degree. An additional four years of human resources experience may be substituted in lieu of a degree.
**Basic Qualifications for a level 3:**
+ Bachelor's degree in Business, Human Resource management, Organization Development, Industrial Organizational Psychology, or related field required plus 6+ years of experience in HR - OR - 4+ years of experience with a Master's degree. An additional four years of human resources experience may be substituted in lieu of a degree.
**Additional Basic Qualifications for both levels:**
+ Understanding and application of HR principles, concepts, policies and practices.
+ Proficient verbal and written communication skills, with demonstrated ability to effectively communicate with and influence others.
+ Proficient in Microsoft office (Word, Excel, PowerPoint)
+ Proven ability to support cross-functional projects to completion including organizing, planning, scheduling and following up on project related items.
+ Ability to obtain and maintain a Secret clearance. US Citizenship is a prerequisite.
**Preferred Qualifications:**
+ Experience working on complex duties in a high-impact, time sensitive environment.
+ Working knowledge of HRIS platforms and technology, with preferred applications experience in Workday and Saba Learning Exchange (LX).
+ Leadership coaching skills and knowledge of human and adult learning principles a plus.
+ Experience in proactive HR assessment, diagnosis and solutioning of business challenges.
+ Ability to navigate cultural and business nuances/sensitivities to promote top performance, employee engagement and inclusion.
+ Current Secret security clearance. US Citizenship is a prerequisite.
**Salary Range:** $62,200 - $93,400
**Salary Range 2:** $76,600 - $115,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Corinne) Principal/Sr. Principal Technical Services Project Manager/VSET2024-03-15T06:15:41-04:00https://northropgrumman.jobs/93046A2FD3564A78BF423CD79A59578C26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman, Propulsion Systems, is currently seeking a **Principal/** **Sr Principal Technical Services Project Management** to function as a **Value Stream Element Team Leader (VSET)** to provide leadership to our team at our **Promontory, UT** facility for multiple programs **.** In this position, the engineer would lead testing and research activities for programs in various phases of the program life cycle.
**Role description & Responsibilities:**
Under the direction of the Test Engineering Senior Manager, this position is responsible to lead all activities associated with a product family within the value stream.
The VSET Lead is responsible for delivering a product family through overall ownership and accountability of that product's value stream element including: acceptance of requirements, product and process design, planning generation, product manufacture, inspection, acceptance, testing and delivery to the downstream customer to meet cost and schedule requirements.
Works closely with the functional organizations (e.g. design, S&MA, process engineering, supply chain and operations) to effectively and efficiently staff the team as required. Manages and ensures effective concurrent engineering through the oversight and integration of all engineering and processing disciplines. Requests, acquires and manages resources within a matrix organization as necessary to accomplish the goals of the value stream.
The VSET leader is responsible for total team performance and works closely with the program office and plant functional organizations to accomplish program and company goals.
The VSET leader's primary focus is the design, manufacture and testing of a defect free, highest value product that satisfies every customer requirement by focusing on and effectively integrating all elements and disciplines of the associated value stream. Special attention must be given to lessons learned that could affect or be applicable to multiple programs and processes.
The VSET leader's responsibilities include:
+ All design, reliability, safety, performance, cost and schedule requirements.
+ The safety of personnel, facilities and product through the development and manufacturing lifecycle.
+ Produced and procured designs, processes, suppliers, materials, facilities, inspection plans, tooling, etc.
+ Managing financial performance of the value stream and its functional organizations. This includes tracking, reviewing and approval of change requests as needed for submittal to the Program Office.
+ Initiate and lead value enhancement and waste elimination efforts for all aspects of the component value stream utilizing lean principles for continuous improvement.
+ Work closely with other VSET leaders to ensure strong communication and collaboration is maintained.
+ Currently possess or must be able to obtain Secret security clearance.
**Education/Experience Requirements:**
+ Bachelor's degree in Engineering (aerospace, chemical, electrical, mechanical, material sciences engineering, or physics) required. An advanced degree in engineering is preferred
+ BS with 5 years' experience
+ MS with 3 years' experience
+ Position may be filled at a higher level requiring:
+ BS with 9 years' experience
+ MS with 7 years' experience
+ Experience in engineering, program management, production, safety and/or mission assurance roles where the candidate has developed a well-rounded background and understanding of our business and the product lifecycle
**Salary Range:** $92,600 - $139,000
**Salary Range 2:** $114,900 - $172,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Melbourne) Principal Program Planning and Scheduling Analyst2024-03-15T06:15:40-04:00https://northropgrumman.jobs/8A348C9BD78E4360ABC65872DC4C705326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Principal Program Planning Scheduling Analyst (level 3)** to join our team of qualified, diverse individuals! This position will be located **on site** in **Melbourne, Florida** . The selected candidate will work closely with the Program Leadership to meet the goals and objectives of the AS Business Management organization.
**Essential Functions:**
+ Prepares, develops and coordinates the integrated master plan and integrated master schedule to meet all program objectives
+ Ensures major projects and program schedules and plans are horizontally and vertically integrated across company functional groups including finance, engineering, material, manufacturing, and quality
+ Tracks plans and schedules, performs risk analysis, identifies and resolves critical path and network logic conflicts
+ Uses Gantt, PERT, milestone charts, earned value management and other project management techniques to gauge progress and identify performance variances to facilitate focus and intervention on critical areas
**Level 3 Basic Qualifications:**
+ Bachelor's degree with 5 years of program planning and scheduling experience or Master's degree with 3 years of stated experience or a High School Diploma/GED with 9 years of experience in lieu of a degree
+ Possess intermediate to advanced skills in MS Project and the detail development of an IMS
+ **Full understanding of Earned Value Management (EVM)** practices and the ability to support implementation on programs
+ **Active DoD SECRET clearance required to start**
We offer flexible work arrangements, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees!
At Northrop Grumman, we are on the cutting edge of innovation-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule, and a great 401K matching program.
**Salary Range:** $84,200 - $126,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Northridge) Business Development Representative 42024-03-15T06:15:40-04:00https://northropgrumman.jobs/C07645E240F341009EC50755A59A9F0826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Defense Systems** is currently seeking a **Business Development Representative 4 to work in Northridge, CA** that will report to the Director of Advanced Weapons Strategy & Business Development and will perform the duties of Business Development Lead for various opportunities.
**Role Description:** Responsible for establishing & managing BD Team operating standards, responsible for developing/managing BU NCTA process, execution controls and gated reviews; develop pursuit management processes to support the LRSP, develop BU process for gated proposal solutions aligning with operating unit, evaluates and develops controls for Proposal Team BAP compliance and Gate Reviews for International/Domestic pursuits; responsible for providing support management to NCTA investment planning; serves a main liaison to intern AW BD team and Division/Sector BD.
**Roles & Responsibilities**
+ Responsible for establishing & managing BD Team operating standards and processes.
+ Provide support to BD Director for NCTA investment planning. Responsible for developing and managing approval process for site discretionary funds.
+ Responsible for coordinating NCTA planning and reviews.
+ Responsible for NCTA process improvement, training material development and training.
+ Responsible for Salesforce data integrity maintenance, data architecture dev, configuration setup, dashboard management, and reporting.
+ Responsible for BAP process management for BU.
+ Evaluates Proposal Team BAP compliance and Gate Reviews management.
+ Responsible for Cross Division and Sector coordination of Trade Shows and Conferences.
+ Responsible for Resource Board, and Operations Reports.
+ Responsible for IRAD compliance reporting via automated tools (RDIS/CORA/PPM) for DTC compliance.
+ Liaison to Division/Sector Operations and tracks/coordinates flow down needs/requirements
+ Responsible for Salesforce management, opportunity data up keep, and Salesforce dashboard/report development for the BU.
+ Responsible for Salesforce reporting alignment between the BU, Division, and Sector requirements and cycles.
+ AW Lead responsible for Division/Sector BD cross Division task activities (BSTs, JSRs, Pipeline Reviews, AOP Reviews) coordination and deliverable management.
+ Responsible for marketing materials and inventory management.
+ Coordinate between BU/Division/Sector on all BD Ops tasks.
+ Responsible for managing contingent workers, SoW, and work with subcontracts team PO award.
+ Interact with senior internal personnel on significant matters often requiring coordination between organizations.
+ Support the development of executive caliber deliverables, including but not limited to Board of Directors Reports; Monthly Management Reports; BD Operational Goals Reports; Sector Quarterly Reviews; and Pipeline Assessment Reports
**Required Education and Experience**
+ Bachelor's Degree in STEM related field, General Business or Marketing.
+ Ten years of overall experience in the aerospace/defense industry or US Navy or Air Force service.
+ Strong background in DoD weapons programs.
+ Must hold (or have recently held and can reestablish) a DoD Secret Clearance.
+ Proven experience working with the Weapons Schools ( Fallon, the G and E/F Weapons Schools, and USAF Fighter Weapons School)
**Preferred Education and Experience**
+ Master's Degree in a STEM related field, General Business or Marketing.
+ 10+ years of overall experience in the aerospace/defense industry or US Navy or Air Force service.
+ 5 years experience executing missions for Suppression of Enemy Air Defenses (SEAD) or Destruction of Enemy Air Defenses (DEAD), or other weapons engagement missions.
+ Recent retirement from the US Air Force (within the last 6 months)
+ Current DoD Top Secret clearance
+ Knowledge of the Business Acquisition Process or similar new business opportunity process.
+ Proven experience working multi service user communities on adoption of new weapons programs.
Living in Northridge offers a perfect combination of urban living with the beauty of nature. It is a short drive away from downtown Los Angeles, the Hollywood Hills, or the rest of the San Fernando Valley. Take advantage of your flexible work schedule to enjoy shopping at the local Northridge Fashion Center or spend time at the local Recreation Center as well as easy access to nearby protected natural areas such as the Chatsworth Reservoir, the Santa Susana Pass State Historic Park, Lake Balboa or within driving distance, spend a day at the beach. With Cal State Northridge right in the heart of town, the area has an active college-town energy combined with family-friendly suburban charm. The stunning southern California climate makes for year-round easy living. With an average of 284 sunny days during the year , it is safe to say you'll have plenty of time to enjoy your outdoor activities to the fullest.
For more information, please click on this link below:
Northrop Grumman in Northridge-California - Northrop Grumman
**Salary Range:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-NC-Charlotte) Engineer Material Process2024-03-15T06:15:40-04:00https://northropgrumman.jobs/D3A020C700AD4737A48C5AF440198B8E26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
An organization within Northrop Grumman Space Systems' Payload and Ground Systems (PGS) division, SYNOPTICS is the world-wide leader in the growth, fabrication, and coating of crystalline materials used in laser systems. These "solid-state" laser crystals are used in products ranging from medical lasers to military laser systems. The Charlotte facility has been operating for over 40 years in the laser industry and has developed the reputation of providing the highest quality products with timely deliveries. Within the facility, solid-state oxide and fluoride crystals are grown from a high-temperature melt. SYNOPTICS has full optical fabrication capabilities for various plano and spherical geometries. SYNOPTICS serves the photonics and optics industry across the globe.
Learn more about SYNOPTICS at our website: https://www.northropgrumman.com/space/synoptics/ .
**Job Duties:**
This R&D engineering position will primarily develop crystal growth processes for materials new to SYNOPTICS. The engineer will be required to work with manufacturing personnel to develop, improve, document, and transition new processes to manufacturing. The position will also require developing a thorough understanding of the current crystal growth techniques at SYNOPTICS. Some sustaining engineering duties will be assigned in which the engineer will be responsible for an established growth process including data collection, process control, designed experiments, process documentation, and operator training. Written documentation will be required for new processes and process improvements.
The Material Process Engineer will be expected to participate in process improvement projects as a team member or lead and technical training for manufacturing employees (training may require some shift flexibility on a limited basis). The engineer will also be expected to participate in developing new business opportunities which may include responding to government solicitations and attending/presenting at technical conferences.
**Basic Qualifications:**
+ BS in Materials Science, Physics, Chemistry, or related STEM field with 2 years of associated Engineering experience is required.
+ 0 years of relevant experience with Masters' degree within a related STEM discipline.
+ Crystal growth or materials processing and synthesis experience with specific knowledge of solidification principles, intrinsic growth defect formation, understanding phase diagrams, and solid-liquid chemistry of oxide systems.
+ Strong grasp of engineering principles.
+ Must be a self-starter and have excellent analytical skills as well as good verbal and written communication skills.
+ Must be a self-starter and have excellent analytical skills as well as good verbal and written communication skills.
**Preferred Qualifications:**
+ MS or PhD is preferred.
+ Knowledge of Six Sigma and Lean Manufacturing techniques.
**Salary Range:** $73,600 - $110,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Dulles) Principal Windows Systems Administrator2024-03-15T06:15:39-04:00https://northropgrumman.jobs/0763BB92708E4CE683D02D76221BC99726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Classified Solutions is seeking a well-qualified **Windows Systems Administrator** to join its dynamic team of technical professionals.
Please note that the selected candidate will be required to work on-site, full-time, at our **Dulles, VA** campus. This is not a remote position.
Responsibilities will include but not be limited to the following:
Roles and responsibilities include:
+ Perform as a Windows System Administrator for a classified government contract
+ Communicate effectively at all levels of the organization, with internal or external customers, in written and oral format.
+ Maintain smooth operation of multi-user computer systems, including coordination with network, software, and system engineers, PC desktop technicians, project managers, end users, and customer and IT management.
+ Install, configure, and perform maintenance of virtual environments to include virtualized servers and VDI Desktops.
+ Support multiple networks. Ensure necessary administration tasks are completed; direct others as necessary.
+ Analyze internal or external customer requirements and determine equipment and software requirements for solutions.
+ Recommend and implement system enhancements that will improve the performance and reliability of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Provide backup and recovery services; manage file systems and disk space; manage virus protection on a routine basis.
+ Create and maintain user and computer accounts and modify file permissions and security access lists.
+ Test new releases of products to ensure compatibility and minimize user impact.
+ Develop and document technical processes and procedures as needed.
+ Make recommendations to purchase hardware, software, system components.
+ Interact, meet, discuss, and troubleshoot issues with vendors; evaluate vendor products, services, and suggestions.
+ Adhere to strict Information Systems security guidelines in all cases.
+ Provide technical input for security documentation to Computer Security.
+ Report project status as required for all recurring and non-recurring efforts.
+ Works under minimal direction and independently determines and develops approach to solutions.
+ Work across disciplines to isolate issues between software, firmware, and hardware, between software and operating systems, between networks and applications, and between one-time events and recurrent systematic problems.
+ Lift equipment weighing up to 40 pounds.
+ Work after hours and weekends as needed.
If you are ready to solve complex problems in a dynamic environment, apply today!
**Basic Qualifications:**
+ Master's degree with 3 years of experience; OR a Bachelor's degree with 5 years of experience; OR an Associates degree with 7 years of experience; OR a High School Diploma/GED with 9 years of experience is required
+ Candidates must have a **DOD 8570 IAT Level II** (or greater) certification. Example: Security+ CE. Maintaining the required certification will be a condition of continued employment
+ Experience managing Windows Server OS in a domain architecture is required
+ Must have experience installing, configuring, troubleshooting, and maintaining network services, equipment / devices, such as Switches, Routers, Hubs, Servers, and Firewalls
+ Experience operating under, and managing systems within, NISPOM Chapter 8, DCID 6/3-ICD 503, RMF, STIG, JAFAN, or JSIG information system environments is a must
+ Candidates must have a current DOD **Top** **Secret** security clearance (at a minimum) to include a closed investigation date completed within the last 6 years OR must be enrolled in the DOD Continuous Evaluation Program (CEP), in order to be considered
+ Must have the ability to obtain, and maintain, access to Special Programs as condition of continued employment
**Preferred Qualifications:**
+ The ideal candidate will have a Bachelor's degree in Information Technology, a Security+ CE, and 6 years of experience with Windows Server management and Windows domain architecture
+ Candidate with VMWare experience (with current versions of Virtual Center, ESXi and/or VMWare Horizon View) will get preferential consideration
+ Familiarity/Experience with Linux RHEL Operating Platform is a plus
+ Experience installing, configuring, and maintaining computer hardware in a networked environment
+ Understanding basic networking, including subnets, routing, and VLANs; Cisco experience and/or certification
+ Windows AD, LDAP, VMWare, and knowledge of SAN storage systems
+ Knowledge of environmental monitoring tools (HBSS, Solar Winds, etc.)
+ Creation and deployment of system images in an enterprise environment
+ Experience with Certification & Accreditation process
+ DoD 8570 Certification in a primary OS (Windows, Linux, Cisco)
+ Active SCI clearance highly desirable
**Salary Range:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) Manufacturing Expeditor (Level 2 and Level 3)2024-03-15T06:15:39-04:00https://northropgrumman.jobs/2FD7F9B1893442E9B66808E88015292D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Manufacturing Expeditor 2/3** to join our team of qualified, diverse individuals. This position will be located in **Clearfield, Utah.**
**We are filling this positions for our A shift (Mon-Thurs days; 10 hrs; Overtime welcome)**
**Position Description**
Expedites, routes, and dispatches material and parts in accordance with production schedules and commitments. Reviews master production schedule to determine possible and actual shortages and alerts proper authorities of potential problems. Generates progress reports on schedule status and keeps records of completed orders. Takes appropriate action to expedite materials such as order damaged material repaired or in-house material transportation. Reports on completion dates, material changes or substitutions, shortages, and schedule modifications.
**Position may be filled at level 2 or level 3 based on qualifications below**
**Basic Qualifications:**
**Manufacturing Expeditor Level 2:**
+ High School Diploma and 2 years of relevant experience in manufacturing and/or production and/or material transportation
+ Current DoD Secret Security Clearance
+ Ability to obtain Secret Clearance and special program access
+ This position requires the ability to perform moderate strenuous physical and repetitious work to include: bending, stooping, kneeling, carrying tools, lifting up to 50 pounds on a frequent basis
**Manufacturing Expeditor Level 3:**
+ High School Diploma and 4 years of relevant experience in manufacturing and/or production and/or material transportation
+ Current DoD Secret Security Clearance
+ Ability to obtain Secret Clearance and special program access
+ This position requires the ability to perform moderate strenuous physical and repetitious work to include: bending, stooping, kneeling, carrying tools, lifting up to 50 pounds on a frequent basis
**Preferred Qualifications**
+ Previous experience with forklifts, cranes, or other heavy equipment use
**What We Offer:**
At Northrop Grumman, we are on the cutting edge of innovation-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer exceptional benefits/healthcare, a great 401K matching program, and 14 Employee Resource Groups inclusive of all employees!
"ASBUJobs"
**Salary Range:** $38,600 - $64,300
**Salary Range 2:** $45,800 - $76,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Network Communications Administrator - Secret2024-03-15T06:15:39-04:00https://northropgrumman.jobs/C19C3892231D43A18F45F6F62FC719E826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Chief Information Office has an opening for a well-qualified Network Communications Administrator to join our qualified, diverse and dynamic team of technical professionals. This position is located in the Palmdale, CA area.
The selected candidate will be required to work on-site, full-time at our Palmdale campus. The individual will perform the following duties on a day-to-day basis in support of the program:
+ Maintains smooth operation of LAN/WAN/VPNs.
+ Plans, designs, configures, and installs network hardware in support of customer requirements.
+ Maintains technical expertise in all areas of networks and computer hardware and software interconnection and interfacing, such as routers, firewalls, switches, WAN accelerators, etc.
+ Proposes solutions to management to ensure all communications requirements based on future needs and current usage, configuring such solutions to optimize cost savings.
+ Prepares or ensures that appropriate network documentation exists, including operational instructions.
+ Provides regular monitoring and network analysis regarding short- and long-range planning for in-house systems.
+ May coordinate third-party maintenance for network equipment. May design network or portion of network that include selection of hardware and software packages.
+ Lift equipment weighing up to 40 pounds.
+ Must be willing to work a flexible work schedule that may include extended shifts, holidays, weekends, and support on call status as needed.
Note: Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
**Basic Qualifications:**
+ Associate's degree and 4 years of experience OR Bachelor's degree and 2 years of experience OR Master's degree and 0 years of experience; 6 years of experience may be considered in lieu of completed degree.
+ Active DoD Secret Clearance with ability to obtain and maintain a DoD Top Secret clearance as a condition of continued employment.
+ Ability to obtain and maintain access to Special Programs as a condition of continued employment.
+ IAT Level II certification (ex: Security+ CE).
+ Cisco CCNA Certification or ability to obtain within 6 months of hire as a condition of continued employment.
+ Experience with Cisco hardware including routers, switches, and firewall.
**Preferred Qualifications:**
+ Active DoD Top Secret Clearance.
+ Cisco CCNA Certification.
+ Previous experience troubleshooting network procedures and best practices; experience with network challenges associated with systems integration, including COTS integration, capacity analysis and system architecture design.
+ Demonstrated experience in the use of network analysis tools (i.e. SolarWinds, WireShark, Riverbed App Transaction, etc.).
+ DISA STIGs knowledge and/or implementation experience
+ Network encryption devices experience.
ESCSO
ESNetAdmin
**Salary Range:** $79,300 - $118,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Command and Launch C2 Capabilities Systems Engineering Manager 3 (LSS) (11710)2024-03-15T06:15:38-04:00https://northropgrumman.jobs/477C95EB63534A26B98A3EE01223488926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **Systems Engineering Manager 3** to support the Sentinel program. This leadership position is located in **Roy, UT** .
**What You'll Get To Do**
As the C&L C2 Capabilities Engineering Manager, you will lead a team of approximately 20-25 systems engineers across multiple domains and disciplines. The successful candidate should be detailed oriented and be able to work collaboratively with multiple teams and disciplines.
**Specific duties to include, but are not limited to the following:**
+ Lead the Systems Engineering Capabilities activities for the Command & Launch C2 element.
+ Coordinate vertically and horizontally with multiple engineering teams and organizations.
+ Coordinate with customer and supplier engineering teams.
+ Coordinate Incremental Functional Capability activities to shape team priorities.
+ Lead Subject Matter Experts (SMEs) coordinating with specialty engineering teams.
+ Organize and lead execution of multiple Critical Design Review events.
**Position Benefits**
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**You'll Bring These Qualifications:**
+ Bachelor's degree in a Science, Technology, Engineering or Mathematics (STEM) discipline with 8 years related experience or Masters Degree in STEM and 6 years related experience
+ Must be a US Citizen with an active DoD Secret Clearance with an investigation date within the last 6 years
+ At least 3 years leading a project and/or driving performance against schedule
+ Ability to travel up to 25% of the time
**These Qualifications Would be Nice to Have:**
+ An active DoD Top Secret clearance with capability for SAP access
+ Familiarity with Model- Driven Systems Engineering languages (SysML /UML) and concepts and CORE knowledge a plus
+ Strong communication and interpersonal skills to effectively communicate and present to project and program management, other technical managers, and customers
+ Excellent technical writing skills, and team building skills
+ Direct experience using the DOORS Requirements Management Database
+ Familiarity with Model- Driven Systems Engineering languages (SysML /UML) and concepts and CORE knowledge a plus.
+ Subcontractor technical oversight experience
+ Certified as a Control Account Manager Experience with demonstrated earned value management execution experience
\#GBSDLeadership
**Salary Range:** $156,000 - $234,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Sr Principal RF Microwave Subsystem I&T Engineer2024-03-15T06:15:38-04:00https://northropgrumman.jobs/6272EAD86B8E4486B92F8C536CE682BE26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The qualified candidates will work with a diverse and talented team of engineers developing innovative and cost-effective systems that support a broad range of products and business areas across the lifecycle of a program. Applicants should possess the ability to communicate effectively and clearly present technical approaches and findings.
The AMS E&S organization at Northrop Grumman Missions Systems in Baltimore, Maryland is seeking experienced **RF Subsystem Integration and Test engineers** in the following areas:
Antenna hardware testing, Electromagnetics, Computational Electromagnetics, RF/Microwave test, design and analysis. Work is performed without appreciable direction and exercising considerable latitude in determining technical objectives of assignments. Candidates would have the ability to develop technical solutions to complex problems which require the regular use of ingenuity and creativity.
Responsibilities may include development of:
+ Antenna test equipment understanding for factory phased array testing
+ Integrate new equipment and programs in a state-of-the-art facility
+ Software development for automating test processes and data analysis
+ Troubleshoot RF issues during test of advanced antenna systems
+ Support technicians with troubleshooting and training
+ Analyze test data and identify early trends
+ Support program meetings in developing root cause and corrective actions
+ Collaborate with design engineering for hardware and test improvements
+ Subsystem analysis including hardware from RF to digits
+ Support for performance testing, requirements verification, and more.
In addition, this candidate should have demonstrated technical ability/leadership and expertise in one or more of the following areas:
+ Antenna range integration, test, and system integration
+ Active electronically scanned arrays (AESAs)
+ Highly integrated subsystems including antenna hardware
+ Reflectors, Reflect Arrays, Digital Beam Forming
+ Antenna/RF Performance Analysis
+ Antenna Measurements and Data Reduction
+ Subsystem requirements (hardware and software) derivation, flow-down, and configuration
+ Comfortable creating test support applications and tools using MATLAB
+ Conducting Test Readiness Reviews and/or Design Readiness Reviews
+ Concept development of operation for integration and test activities
+ Executing subsystem and system level testing - both in engineering development and manufacturing environments
This is a Dual level opening, candidates will be considered at both a level 4 (Sr. Principal) or 5 (Staff) based upon the Basic Qualifications listed below.
**Basic Qualification Sr. Principal RF Microwave Subsystem I&T Engineer:**
+ Must hold a Secret or Top Secret security clearance
+ Education
+ Must have a Bachelor's Degree in Engineering or related discipline with 9 years of experience in the areas described in the job description or
+ Master's Degree with 7 years of experience or
+ PhD with 4 years of experience
+ Experience using MATLAB
+ Antenna range integration, test, and subsystem integration
+ Experience with set-up and testing of hardware in a lab/bench-top environment
+ Have a basic understanding of Antenna/RF Performance Analysis
**Preferred Qualifications:**
+ TS/SCI clearance
+ SAP clearance
+ Strong knowledge of
+ setting up a testing environment in Near-field (NF) ranges and Compact range (CR)
+ testing phased arrays in a NF or CR range
+ Knowledge with RF and antenna theory
+ Test development experience in a nearfield antenna range
+ Experience troubleshooting RF subsystems
+ Strong understanding of Antenna/RF Performance Analysis
**Salary Range:** $127,000 - $196,600
**Salary Range 2:** $190,600 - $236,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Manager Manufacturing Engineering 22024-03-15T06:15:38-04:00https://northropgrumman.jobs/F66A67BEA51E43F6ACD76B6731E60BC126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Job Description
**Northrop Grumman Aeronautics Systems has an opening for a Manager Manufacturing Engineering 2 to join our team of qualified, diverse individuals within our STRIKE Program organization. This leadership role is located in Palmdale, CA.**
The Manager Manufacturing Engineer 2 will be responsible for taking the product design and converting it into a sequence of detailed assembly/ manufacturing instructions, which will include the following responsibilities:
**Key Responsibilities:**
+ **Create new and modify existing assembly/ manufacturing instructions with necessary operations to support build sequence, while also including specifications/quality requirements and maintaining a bill of material (BOM)**
+ **Provide guidance to Design Engineering during drawing reviews regarding design concepts and specification requirements to best utilize equipment and manufacturing techniques; and to identify any manufacturability challenges or changes required ahead of drawing release.**
+ **Investigate and initiate corrective action to ensure product quality; resolve quality issues that arise during the build process to ensure all parts conform to the design configuration.**
+ **Develop tooling / fixtures to conduct the operations steps and to serve as manufacturing aids for technicians.**
+ **Manufacturing Engineers for Aeronautics Systems are expected to provide technical solutions to a wide range of complex and difficult problems.**
+ **They are problem solvers who have the ability to provide results that are innovative, thorough, practical, and consistent with organization objectives.**
+ **The ideal candidate will be able to work individually as well as collaborate with a diverse group of individuals, work tactically, but with an eye towards future strategy, and exhibit solid communication skills while acting as the technical representative voice for Operations.**
These positions will fill a 1st shift and 2nd shift, 4/10 work schedule, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement, and respond vigorously to change.
**Basic Qualifications:**
+ Must have a Bachelor's Degree in STEM (Science, Technology, Engineering, Math) AND 7 years of related work experience in aviation, aerospace, engineering, or Manufacturing/production OR Master's Degree in STEM AND 5 years of experience in aviation, aerospace, general Engineering, or Manufacturing/Production.
+ Must have 2 years of prior management/leadership experience in related field
+ Must have an active DoD Secret security clearance (US Citizenship required) and the ability to obtain Special Program Access. Special Program Access is required to start job.
**Preferred Qualifications:**
+ Experience with Siemens NX, TeamCenter, SAP, Manufacturing Execution System (MES), and Microsoft Office Suite.
+ Prior experience operating in a dynamic environment of increasing production schedules, responsibilities, and high delivery rates.
+ Experience in leading teams and projects.
+ System Integration of tooling, manufacturing systems, and process techniques into production lines.
+ Low Observable manufacturing techniques.
+ Structures assemblies manufacturing techniques.
+ Subsystem assemblies manufacturing techniques.
+ Composite bonded assemblies manufacturing techniques.
+ Cost Account Management (CAM) Experience
+ Experience with Microsoft Office Suite including Excel and PowerPoint.
**Salary Range:** $122,000 - $183,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Melbourne) Sr. Staff Systems Engineer (Melbourne FL or San Diego CA)2024-03-15T06:15:37-04:00https://northropgrumman.jobs/26AE7495C2AC4E3C883FF4DBDE1318EB26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Aeronautics Systems (AS) sector has an opening for a Sr Staff Systems Engineer. This position can be located in either **Melbourne, Florida or San Diego, California.**
The **Sr Staff Systems Engineer** will serve as a Lead Systems Architect. The Lead Systems Architect is responsible for the coordination and execution of all Systems Engineering activities within Northrop Grumman Aeronautics Systems. This position pushes innovation and redefines engineering capabilities, and drives advances within a diverse team of individuals. Our team is chartered with providing the skills and innovative technologies to develop, produce, implement, and sustain optimized products involving cloud-based technologies. In this role you will interface with multiple Product Owners within an Integrated Product Team (IPT), while interpreting the voice of the customer, thus allowing for guidance to an Agile development team. The candidate will lead and/or interface with a matrixed, cross-sector geographically dispersed team and provide status updates within the IPT & Program and directly to the customer on a regular basis. Travel required: 25%.
The selected candidate will perform architecture definition & documentation, requirements interpretation, management, allocation, and verification. Additional responsibilities to include technical planning, cost & risk assessments, and supportability & effectiveness analyses for of all Ground Systems components and related architectures. Analyses are performed at all levels of total system architectures, covering all products to include concept, design, test, installation, and operation. Ensures the logical and systematic conversion of customer or product requirements into cloud-enabled systems solutions that acknowledge technical, schedule, and cost constraints.
In addition to primary responsibilities, the Lead Systems Architect is expected to perform or support:
- Detailed trade studies & white papers.
- Participate in Technical Review Boards (TRBs), and other program reviews and boards.
- Present technical approaches and findings at technical program reviews to leadership and the customer.
- Provide cross-IPT support for Capture efforts, SSOW/SDRL/CDRL development and execution support.
The Lead Systems Architect will work under consultative direction toward predetermined long-range goals and objectives. You will lead a team to determine and pursue courses of action necessary to obtain desired results. Development and guidance of advanced technological concepts for cloud-based systems will be the primary focus area. You will be expected to act as advisor to management and customers on advanced technical capabilities for cloud-enabled architectures.
This position is contingent upon verification of active DoD Secret clearance and ability to obtain Special Access Program clearance.
Basic Qualifications:
+ Bachelor of Science Degree in a STEM (Science, Technology, Engineering or Mathematics) discipline and 14 years of engineering experience OR Master of Science Degree in a STEM discipline and 12 years of engineering experience OR a PhD in a STEM discipline and 9 years of engineering experience.
+ Active **DoD Secret** security clearance with a background investigation date within 6 years
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance.
+ Cloud-based technologies and architectures experience
+ AGILE development methodologies experience.
+ Working knowledge or experience in two or more of the following technical areas: Ground Systems/ Segments, Cross Domain Solutions, Mission Planning Systems, Software Development Models, or Cloud Services
Preferred Qualifications:
+ MS in Systems or Software Engineering
+ Active TS/SCI clearance
+ Experienced in the use of Confluence & Jira
+ Experience in the DOD development environment
+ Experience developing various DODAF view artifacts, especially operational and functional viewpoints
+ Excellent leadership, communications, and interpersonal skills
+ Development of technical solutions to complex problems which require the regular use of ingenuity
+ Proven experience with team engagement in a leadership/team role
+ Operational use and Subject Matter Expertise (SME) in two or more relevant areas:o Computer network systemso Software coding and Infrastructure as Code (IaC)o Azure Cloud Serviceso Kuberneteso Containerization and Microservices Architectureo Edge Computingo DevOps and Continuous Integration / Continuous Deployment (CI/CD)
**Salary Range:** $158,200 - $274,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Electronics Engineer/Principal Electronics Engineer2024-03-15T06:15:37-04:00https://northropgrumman.jobs/34E36BC3557D40228C684480FFF1A63F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems Field Engineering is seeking a highly motivated **Electronics Engineer/Principal Electronics Engineer.** This career opportunity is a great fit for self-motivated, proactive individuals who want to **assume ownership of the performance and delivery of Northrop Grumman's diverse suite of RF and digital product hardware** . Our engineers work in a high exposure, fast paced, and exciting environment that involves "hands on" technical challenges, problem solving, and inter-department collaborations. If you thrive on solving problems and want different challenges each day, please read on!
We are looking for you to join our team as an **Electronics Engineer or Principal Electronics Engineer** as part our Advanced Tactical Program. This position will be based in Linthicum, MD, as an **Electronics Engineer or Principal Electronics Engineer** for our Airborne Multi-Functions Sensors Division and you will have a challenging and rewarding opportunity to be a part of our field Engineering team. We are seeking RF and digital knowledgeable individuals who are passionate about designing, monitoring, and supporting product technical performance and aggressive about maintaining product delivery schedules. **The engineer will support the development, integration, and testing of radar hardware.** Activities will range from product-level requirements analysis, hands-on testing, and troubleshooting; to analysis of failure trends, root cause/ corrective actions; and attending program meetings to report outcomes, problems, and corrective actions. Extended work hours or shift work may be required to support surge activities.
**Roles & Responsibilities:**
+ Design, develop, and implement testing methods and equipment
+ Troubleshoot and support RF and digital hardware
+ Ability to lead or support, data analysis, and digital Instrumentation and comfortably multitask while working in a team environment or independently.
+ Primary focus will be on the hardware/software integration of radar and other systems in the laboratory to provide a testing environment that facilitates current and future system development activities.
+ Responsibilities will include both RF and digital scenario generation systems that interface with the radar in addition to other platform level systems for integration and requirement verification activities in Baltimore and at customer locations.
+ The ability to travel periodically to support scheduled field integration requirements at the customer's domestic locations is required.
+ Collaborate with design engineering to develop and implement hardware improvements and solutions and support technicians through troubleshoot and training
+ Interface with manufacturing management, test technicians, production engineers, design engineers, system engineers, program management and program support teams
+ The successful candidate will be collaborative, open, transparent, and team-oriented with a focus on team empowerment & shared responsibility, flexibility, continuous learning, and a culture of automation.
**This job may be filled as an Electronics Engineer or a Principal Electronics Engineer.**
**Basic Qualifications for an Electronics Engineer:**
+ Bachelor of Science degree in Electrical Engineering, Electronics and Computer Engineering, or related technical field and a minimum of 2 years' **related** experience in electronics engineering or Master's degree in Electrical Engineering or other STEM field plus 0 years of experience.
+ Working knowledge in RF theory and concepts
+ Working knowledge in digital circuit design
+ Experience with RF test equipment (digital oscilloscopes, power meter, spectrum analyzer, network analyzers, signal generator)
+ Basic knowledge of RF fundamentals including radar operations
+ Effective verbal and written communications with the ability to work in a team environment
+ Ability and willingness to travel to customer locations that include business trips and short-term travel to customer facilities at domestic locations. Most travel to customer locations would occur after development efforts in Baltimore are complete or near completion.
+ Active Secret Clearance investigated within the last 5 years, with the ability to gain program specific clearances. U.S. Citizenship is a pre-requisite
**Basic Qualifications for a Principal Electronics Engineer:**
+ Bachelor of Science degree in Electrical Engineering, Electronics Engineering, and Computer Engineering or related technical field and a minimum of 5 years' **related** experience in electronics engineering or a Master's degree in Electrical Engineering or other STEM field plus 3 years of experience.
+ Experience with RF hardware and software
+ Working knowledge in RF theory and concepts
+ Working knowledge in digital circuit design
+ Detailed knowledge of RF systems including radar
+ Experience with lab support equipment such as digital oscilloscopes, logic analyzers, spectrum analyzers, network analyzers
+ Experience with other RF specialized test equipment and tools used to troubleshoot/repair radar in the lab or field (power meter signal generator)
+ Effective verbal and written communication, ability to work in a team environment
+ Ability and willingness to travel to customer locations that include business trips and short-term travel to customer facilities at domestic locations. Most travel to customer locations would occur after development efforts in Baltimore are complete or near completion.
+ Active Secret Clearance investigated within the last 5 years, with the ability to gain program specific clearances. U.S. Citizenship is a pre-requisite
**Preferred Qualifications:**
+ Ability to work with minimal direction and guidance
+ Experience in hardware\software integration of embedded systems
+ Proficiency with digital, analog, RF test equipment, RF circuit theory and digital systems.
+ Hands-on troubleshooting of electrical hardware
+ Detailed knowledge of RF systems including radar, and radar modes of operation
+ Experience with RF stimulator, RF target generator and digital bench type systems
+ Active Secret Clearance investigated within the last 5 years, with the ability to gain program specific clearances. U.S. Citizenship is a pre-requisite
**Salary Range:** $83,300 - $124,900
**Salary Range 2:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Patuxent River) Principal Systems Test Engineer (Ground Segment) PAX River2024-03-15T06:15:37-04:00https://northropgrumman.jobs/48C2BDB8DA9D4203ADBE8112F4D2A3E126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems (NGAS) has an exciting career opportunity for a Principal Systems Engineer to join the Ground Segment team of qualified, diverse individuals in support of the MQ-4C Triton program test activities. This position will be located at NAS (Naval Air Station) Patuxent River, MD.
The Triton - MQ-4C ITT (Integrated & Test Team) is a US Navy & Northrop Grumman combined test team working together to ensure future capabilities are tested and evaluated in an efficient manner. The selected candidate will perform a variety of data collection and technical support services on the Triton Air vehicle and Ground Control Stations; and support the research and procurement of MQ-4C unmanned air vehicle components in direct support of life cycle sustainment.
Responsibilities include Interfacing with NGAS and customer Flight Test Engineers, Flight Test Conductors, Flight Crews, Ground Operations Technicians, and software development. This position is the primary interface between the US Navy and Northrop Grumman Ground Segment System Administrators, Responsible Engineers, Network Engineers, and Flight Test Engineers.
**Essential Functions:**
• Will act as a System Test Engineer, reporting to the PAX Triton ITT Ground Segment Manager for all ground segment related engineer testing, troubleshooting, and evaluation.
• Responsible for engineering test execution/evaluation of system functional requirements to include Detailed Test Requirements, Acceptance Test Procedures, Request For Test (RFTs), and ground/flight test events.
• Interface with multidiscipline team in the evaluation of new engineering modifications.
• Provide engineering support for computing system deficiencies requiring team interaction with network, software, system engineers, systems administrators, and aircrew personnel.
• Provide engineering level evaluation and reach back support for Triton Program Ground Segments as needed.
• Provides analysis/feedback during engineering modification, maintenance, and ad hoc test planning.
• Prepares Test Variances and Test Worksheets in accordance with engineering direction.
• Responsible for providing engineering adjudication for Interactive Electronic Technical Manuals.
• Develops strategies/improvements for test efficiency.
The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, collaborative/team settings across all levels. The selected candidate will need either to be located at NAS Patuxent River or be willing to relocate to the NAS Patuxent River area.
**Basic Qualifications:**
• Bachelor's degree in a STEM discipline with at least 5 years of engineering experience; or Master's degree with at least 3 years of experience; or a PhD. degree with 0 years of experience.
• Active Secret clearance.
• Ability to obtain and maintain final Top Secret/ SCI / CI Poly.
• Must have the ability to obtain and maintain Special Program Access within a reasonable amount of time as dictated by the program. .
• Current Security + CE, or ability to obtain within 6 months of hire date.
**Preferred Qualifications:**
• Active TS/SCI/CI Poly clearance.
• Experience with Windows and Linux Operating Systems.
• Ability to work after hours and weekends as needed.
• Experience testing and evaluating computing systems in a networked environment.
• Currently valid Security+ CE, CASP, CISSP or similar security certification.
• Windows/Linux domain architecture experience.
• Windows AD, LDAP, VMWare, and knowledge of SAN storage systems.
• Rudimentary Scripting experience.
• Familiarity with XML, Java, C, and C#.
• Ability to communicate effectively at all levels of the organization, with internal and external customers, in written and oral format.
**Salary Range:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Redondo Beach) Aeronautical Engineering Manager 2 - Vehicle Integration2024-03-15T06:15:37-04:00https://northropgrumman.jobs/5FA20464DB4A459CB5FB99D2E0E5C7CB26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems (NGAS) is seeking a **Functional Homeroom Section Manager (Aeronautical Engineering Manager 2)** to join our team of qualified and diverse individuals. The selected candidate will be supporting the functional homeroom in execution to their respective statement of work in the Vehicle Integration (VI) organization as part of the South Bay Vehicle Engineering Directorate. This leadership position is located onsite in either **Redondo Beach or El Segundo, CA** .
The focus of this section is the design and development of advanced mechanical systems and weapons integration for air vehicles. Approximately 20 percent of the section head's time will be spent performing functional homeroom duties such as attending functional staff meetings, management of personnel (performance review, direction & coaching), and coordination of job assignments, staffing, recruiting and developing IRAD projects and process improvement.
Activities include:
• Providing leadership and direction to section members including day-to-day support for the operation of the functional organization
• Ensuring members have sufficient statement of work
• Managing employee performance (including engagement strategies for higher performers and corrective actions for lower performers)
• Coordinating with programs to ensure appropriate staffing actions are developed and executed relative to their section
• Ensuring their employees are familiar with and use required engineering processes and tools
• Resolving critical/urgent program/technical issues
The remaining balance of the Section Manager's time will be spent support program statement of work.
Responsibilities and Duties:
+ Provide mechanisms or weapons integration design support for advanced systems
+ Responsible for creating layouts of system architecture, including integration of mechanical systems related to door drive systems, utility mechanisms, uplocks, weapons, etc.
+ Developing hardware and installation systems to be compatible with related subsystems design integration. Hardware design, kinematic model development, and creation of 3D layouts using NX CAD software.
+ Responsible for communicating and coordinating the design with other Integrated Product Teams (IPTs) across multiple disciplines supporting the design, development, and integration.
+ Assisting in developing hardware specification requirements for suppliers, as well as meeting with suppliers to coordinate those requirements.
+ Must be capable of working independently under minimal supervision and as part of a large multi-disciplined team.
+ Responsible for performing system level evaluations to verify requirements and ensure airworthiness criteria are met.
+ Participate in and attend internal program, customer and/or supplier technical exchange meetings and/or formal program milestone reviews to provide and/or obtain progress status updates.
The candidate must have excellent communication skills, project management skills, experience in creating teams, a firm understanding of the design and development process of advanced composite structures, global supply chain, manufacturing engineering, planning, quality engineering and configuration management.
**Basic Qualifications:**
+ Bachelor's degree in a Science, Technology, Engineering, or Math (STEM) discipline and 9 years of relevant experience; OR Master's degree in a STEM discipline and 7 years of relevant experience
+ Experience in aircraft mechanical design experience
+ Ability to obtain and maintain a DoD Secret Security Clearance prior to start
+ Ability to obtain and maintain special access program clearance (SAP)
**Preferred Qualifications:**
+ Advanced degree in engineering (Mechanical, Engineering, Aerospace) from an ABET accredited university
+ Subject Matter Expert level knowledge of Mechanical Systems and/or Weapons Integration.
+ Experience designing aircraft Mechanical Systems (ie. Landing Gear, Door Drives)
+ Experience managing or leading teams of engineers
+ Strong communication, project management, leadership and team building skills
+ An active DoD Secret Clearance
**Salary Range:** $151,900 - $227,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-San Diego) Associate Engineer Manufacturing2024-03-15T06:15:37-04:00https://northropgrumman.jobs/792AC2AADFFD456D9C43D6658BD4B45C26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems in air and space that impact people's lives around the world today, and for generations to come. Our work preserves freedom and democracy, and advances human discovery and our understanding of the universe. We look for people who have bold new ideas , courage and a pioneering spirit to join forces to invent the future, and have a lot of fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Aeronautics Systems sector is seeking a Associate Manufacturing Engineer to join our team of qualified, diverse individuals . This position will be located in San Diego , CA where technology and teamwork come together . The qualified applicant will become part of Northrop Grumman's Manufacturing Engineering Team working in a collaborative environment while promoting a positive and proactive teamwork environment.
Manufacturing Engineering is responsible for taking the product design and converting it into a sequence of detailed assembly/ manufacturing instructions . The activities involved as part of this role include:
+ Create new and modify existing assembly/ manufacturing instructions with necessary operations to support build sequence, while also including specifications/quality requirements and maintaining a bill of material (BOM)
+ Provide guidance to Design Engineering during drawing reviews regarding design concepts and specification requirements to best utilize equipment and manufacturing techniques; and to identify any manufacturability challenges or changes required ahead of drawing release
+ Investigate and initiate corrective action to ensure product quality; resolve quality issues that arise during the build process to ensure all parts conform to the design configuration
+ Develop tooling / fixtures to conduct the operations steps and to serve as manufacturing aids for technicians
M anufacturing Engineers for Aeronautics Systems are expected to provide technical solutions to a wide range of complex and difficult problems . They are problem solvers who have the ability to provide results that are innovative, thorough, practical, and consistent with organization objectives . The ideal candidate will be able to work individually as well as collaborate with a diverse group of individuals, work tactically, but with an eye towards future strategy, and exhibit solid communication skills while acting as the technical representative voice for Operations .
**Basic Qualifications:**
+ Bachelor's in Science Degree
+ A bility to obtain and maintain a D o D Secret or Top Secret c learance as well as Program Special access within a reasonable period of time , as determined by the company to meet its business needs
+ Experience reviewing and interpreting Blueprints and 3D models
+ Experience withMicrosoft Office Suite
+ Ability to work 1st shift " 7 am- 4 :30pm" and any work schedule (times may vary)
**Preferred Qualifications:**
+ STEM Degree (Science, Technical, Engineering or Mathematical)
+ Experience working hands-on in a production assembly line environment
+ Experience with solid modeling tools such as Siemens NX, CATIA or Solidworks /Pro E
+ Experience providing innovative techniques focused on improving product designs and tooling assemblies or equipment
+ Complex Assembly, Electrical, and/or Composite experience and process knowledge
+ Secret or Top Secret clearance preferred
**Salary Range:** $65,600 - $98,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Software Engineering Manager 32024-03-15T06:15:37-04:00https://northropgrumman.jobs/9A994595585E47A59EAE16EC392FF5D026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Northrop Grumman Mission Systems (NGMS) Microelectronics Design and Applications business pushes the boundaries of innovation, redefining the leading edge of exotic new technologies in computation, system security, and AI. Among our most challenging and exciting fields are Artificial Intelligence (AI) and Transformational Computing (TC). In AI we are developing capabilities to apply next generation AI and machine learning to NGMS solutions. In TC we are leveraging NG proprietary superconducting technologies to transform computing beyond Moore's Law and advance development of large-scale high performance computing systems. You will work in a fast-paced team environment alongside a broad array of scientists and engineers to make these solutions a reality.
Microelectronics Design and Applications (MDA) engineering is seeking Software Manager 3 to support organizational growth across the AI and TC business areas. The role will combine a substantial program management or technical leadership role along with department people leadership responsibilities. The manager will lead a multi-disciplined team of engineers and managers supporting activities within the MDA business units.
Key roles and responsibilities:
+ Manage functional team (or teams) of engineers and managers. Support employee development through technical tasking, performance evaluation, career development, and compensation planning.
+ Execute in a direct contributor or leadership role for programs as a software, systems, test engineer or as a software architect.
+ Development /programming of tools to improve pipeline efficiency, eliminate repetitive tasks, and implement new analysis tools/techniques.
+ Provide advanced project and technical oversight for activities performed by the team. Brief executive management on plans and progress as required.
+ Develop proposal inputs and review proposal content for both internal and external customers.
+ Support department staffing initiatives in cooperation with Human Resource (HR) and Talent Acquisition (TA) partners.
**Basic Qualifications:**
+ Bachelor's degree in science, Technology, Engineering, or Mathematics (STEM) with 12+ years of related engineering experience (9+ with a MS, 7+ with a PhD)
+ Minimum 7 years of experience as a engineering manager or/and program manager.
+ Self-directed technical leader with strong verbal and written communication skills
+ Able to obtain and maintain a TS/SCI with polygraph clearance per business requirements. US Citizenship is a prerequisite of this.
**Preferred Qualifications:**
+ Advanced degree in STEM (Science, Technology, Engineering, or Math)
+ 5 years of experience leading a software development team
+ Experience with the Atlassian tool suite (Jira, Confluence, Bit Bucket)
+ Demonstrated success leading high performance teams as technical, integrated product team, and/or functional leader.
+ Experience building diverse and inclusive teams with high levels of engagement, including mentoring and coaching.
+ Experience in Lean Agile hardware development.
+ Currently possess an active TS/SCI with polygraph clearance.
**Salary Range:** $172,500 - $258,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Manager Programs 2 - Staffing2024-03-15T06:15:36-04:00https://northropgrumman.jobs/15C3DA4C5E2948DC927B9EFF14BA51AC26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman, Mission Systems (NGMS)** is looking for a **Manager, Programs Level 2** to support the Microelectronics Designs and Applications (MDA) in the Microelectronics Center (MC) in **Annapolis Junction or Linthicum, MD** . The individual will be part of a rapidly growing business area focused on groundbreaking technologies and their application to critical customer missions. The primary objective will be the delivery of all contractual requirements within cost and on schedule, while achieving all technical requirements and creating customer intimacy to support value creation strategies and actions.
The NGMC pushes the boundaries of innovation, redefines the leading edge of exotic new technologies in computation, physics, and AI. One of our most challenging new fields is the Microelectronics Designs and Applications (MDA), which combines the unique properties of superconductivity and quantum mechanics to develop radical new energy-efficient computing systems. Our team is chartered to transform computing beyond Moore's Law, advancing development of computer architectures, processing/memory subsystems, and large-scale high performance computing systems. You'll work in a fast-paced team environment alongside a broad array of scientists and engineers to make these processing solutions a reality and deliver remarkable new advantages to the warfighter.
The MDA is seeking a Program Manager to serve as the Business Area's focal person for driving staffing and facility growth across the business area. This individual will work across all business area programs to understand current staffing, expected growth areas, skills mix, hiring and facility needs. Additionally, this person will work with programs to assign new personnel to programs, coordinate seating, program onboarding, briefings, and training. This manager will need to be able to communicate plans and progress to others across the organization including executive level briefings on metrics associated with progress in reaching staffing levels and facility growth across the MDA. The growth in this area will be in multiple locations in the US and across a wide range of engineering and science disciplines, including, systems, software, architecture, design, test, physics, and materials science.
**Key roles and responsibilities include the following:**
+ Serve as the focal point for the MDA on staffing levels and projections across all programs.
+ Work with other program managers, and technical leads to understand and document staffing demands.
+ Coordinate with functional leads to ensure suitable requisitions are written and posted for all needs.
+ Oversee Talent Acquisition, technical staff and functional management to assist in the hiring process to ensure timely execution.
+ Support introduction and onboarding of new personnel to associated programs and work to coordinate appropriate training to support quick integration within teams.
+ Collaborate with facilities on all MDA projects to ensure they meet needs and requirements of the MDA.
+ Track seating across the entire business area. Collaborate with site facility leads to ensure all MDA team members have appropriate seats and equipment to meet the program requirements.
+ Track progress, compile statistics, define useful metrics, and report regularly to the business area and functional management. Brief executive management on plan and progress as required.
+ This position may also include other Program management activities associated with efficiently running the MDA.
**Basic Qualifications:**
+ Bachelor's Degree and 9+ years', Master's Degree and 7+ years', or a PhD and 4+ years of experience supporting Government contracts and/or project management in other industries.
+ Demonstrated success leading teams/and or organizations to achieve a common goal.
+ Experienced technical leader with the ability to work effectively in a collaborative team environment.
+ Proven experience defining, monitoring, and controlling program requirements as applicable.
+ Experience identifying, analyzing, tracking, and reporting on risk and opportunities.
+ Experience managing priorities and stakeholders effectively in an environment of constant change.
+ Willingness/ability to obtain and maintain an Active PMP (Project Management Professional), PgMP (Program Management Professional) Certification, and/or Defense Acquisition Workforce Improvement Act (DAWIA) Level 3 certification in Program Management.
+ Excellent verbal and written communication skills.
+ US Citizenship.
+ Active TS/SCI clearance with CI poly.
**Preferred Qualifications:**
+ Active TS/SCI with full-scope poly.
+ Active PMP Certified.
+ Earned Value Management experience.
+ Demonstrated success leading high performance teams as technical, integrated product team, and/or functional leader.
+ Experience in hiring technical staff expanding resources/structure to support personnel growth.
+ Ability to apply knowledge, insights and understanding of business models (commercial and defense) and financial concepts, tools and processes to drive performance and profitability.
**Salary Range:** $145,000 - $227,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) Aircraft Inspector 3-4 - Active DoD Secret Clearance Required2024-03-15T06:15:36-04:00https://northropgrumman.jobs/1EBD11F6EE4C45C58701A4D32111489F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aerospace Structures Business Unit has an opening for an Aircraft Inspector 3 or 4 to join our team of qualified, diverse individuals. This position is located at our Clearfield, Utah facility.
**Essential Functions:**
This position involves detailed inspection of composites parts and assemblies throughout the manufacturing process of composite structures for quality and design verification in a fast-paced production environment. It requires knowledge and experience related to detailed visual inspections, dimensional inspections of machined parts and the use of standard measuring instruments.
- Active DoD Secret Clearance Required
**Other specific job duties include:**
Create Material Review Board (MRB) and Interface with Quality Engineering and program engineering on issues. This job has decision-making responsibilities with regard to the acceptance or rejection of work and tests performed and the determination of measuring equipment used. Inspections vary from close tolerance dimensional checks to general surveillance of the work in progress
Inspects mechanical final assembly installations of Detail parts into assemblies. Inspects systems such as hydraulic, electrical installations.
May monitor and verify quality in accordance with statistical process or other control procedures. Requires very limited to no supervision. May assist in orienting, training, assigning and verifying the work of lower level employees.
Duties & tasks are varied and moderately complex. Frequent contact with individuals representing other departments, including customer representatives.
**Competencies for Success:**
Positive individual who is willing to expand current skill set
Must be able to work independently and in a team setting
Strong interpersonal communication skills with ability to work with others at all levels of the organization
Strong attention to detail and accuracy
High aptitude for learning
Ability to multitask and prioritize
**Basic Qualifications:**
Aircraft Inspector 3:
+ High School Diploma or equivalent GED required (education will be verified) a minimum of 4 years of inspection experience in an aerospace or manufacturing environment
+ **Must have Active DoD Secret security clearance last investigated within 5 1/2 years and the ability to obtain special program access**
+ Must have technical strengths in composite processing, operations, and inspection
+ Must be able to use standard measuring inspection tools/equipment and able to read and understand blueprints, technical specifications and operating procedures
+ Must be able to work flexible and changing shift schedules
+ Must be able to certify for half and full face respirator
+ Must be able to pass formal classroom training to include but not limited to hole drilling, sealing, countersinking, and fastener installation.
+ This position requires the ability to perform moderate strenuous physical and repetitious work to include: bending, stooping, kneeling, carrying tools, lifting up to 50 pounds and working overhead
+ Must be able to work around composites, epoxies, and sealants for long periods of time.
**Preferred Qualifications:**
+ Proficient in Microsoft applications
+ 4+ years of aircraft structures, systems or electrical experience.
+ 4+ years of aircraft quality experience.
+ Must be able to effectively communicate both verbally and written.
+ Experience with MRB process preferred.
Aircraft Inspector 4:
+ High School Diploma or equivalent GED required (education will be verified) a minimum of 6 years of inspection experience in an aerospace or manufacturing environment
+ **Must have Active DoD Secret security clearance last investigated within 5 1/2 years and the ability to obtain special program access**
+ Must have technical strengths in composite processing, operations, and inspection
+ Must be able to use standard measuring inspection tools/equipment and able to read and understand blueprints, technical specifications and operating procedures
+ Must be able to work flexible and changing shift schedules
+ Must be able to certify for half and full face respirator
+ Must be able to pass formal classroom training to include but not limited to hole drilling, sealing, countersinking, and fastener installation.
+ This position requires the ability to perform moderate strenuous physical and repetitious work to include: bending, stooping, kneeling, carrying tools, lifting up to 50 pounds and working overhead
+ Must be able to work around composites, epoxies, and sealants for long periods of time.
**Preferred Qualifications:**
+ Proficient in Microsoft applications
+ 6+ years of aircraft structures, systems or electrical experience.
+ 6+ years of aircraft quality experience.
+ Must be able to effectively communicate both verbally and written.
+ Experience with MRB process preferred.
**Salary Range:** $59,300 - $98,800
**Salary Range 2:** $70,400 - $117,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-AL-Huntsville) Supply Chain Programs Manager 2 (Secret clearance required) - Roy - Utah or Huntsville - Alabama #126432024-03-15T06:15:36-04:00https://northropgrumman.jobs/2A1D9C0452C642DD9FAC57E202BF62CC26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is seeking a Supply Chain Programs Manager 2. This position can be based in either **Roy, UT** or **Huntsville, AL** and will lead the day-to-day supply chain execution in support of the SDS Advanced Programs portfolio. This position reports directly to the Director of Global Supply Chain for the Strategic Deterrent Systems (SDS) division.
The Manager of Supply Chain Programs will lead a diverse team of supply chain professionals responsible for support to the SDS Advanced Programs (AP) business unit covering the entire supply chain process from front-end proposal strategies and management of major subcontractors and/or major subcontracted systems to issuance and execution of major subcontracts to supply chain partners.
This manager's responsibilities include collaborating with senior level division, IPT and program executive leadership to understand business requirements and identify and manage the needs for subcontracts and material across the Advanced Programs portfolio.
Primary responsibilities will include proposal planning, strategy development and teaming analysis, negotiations, risk management and functional performance, managing key subcontractor relationships including cost, schedule, technical and quality performance to specifically include:
+ Participates in pre- and post-solicitation Customer meetings and communications.
+ Develops the material and subcontractor strategy and leads the activities for GSC in all phases of the Business Acquisition Process (BAP) as it relates to AP's content.
+ Supports proposal development by analyzing and addressing supply chain related requirements.
+ Ensures that prior to execution, all elements of the proposed program(s) plans have complete and accurate schedules, budgets, make/buy plans, and procurement and subcontracts strategies.
+ Leads supply chain program execution and is accountable for resource planning, material cost, forecasting, schedule performance, risk/opportunity management, supplier performance, and supply chain issue resolution.
+ Makes decisions affecting the performance of the subcontractor.
+ Establishes milestone objectives and manages performance to committed schedules and contractual specifications.
+ Ensures subcontractor performs within the established program cost, schedule, and quality requirements.
+ Participates in supplier/subcontractor negotiations in collaboration with the Subcontracts Organization.
+ Conducts program reviews with the suppliers, subcontractors, and program office.
+ Leads the BOM execution strategy and sets priority with the Procurement team; and
+ Briefs the Program Management Office (PMO) and executive management on Supplier strategies and the status and issues affecting Program cost and schedule performance.
**Basic Qualifications:**
+ Bachelor's degree
+ Minimum of 6 years' relevant experience in supply chain, business management and/or program management.
+ 2 years experience in a lead and/or management role.
+ Demonstrated experience in supporting proposals and major subcontractor management.
+ Knowledge of supply chain management techniques, practices or theories gained through wide seasoning and/or special development, specific to cost reimbursable subcontracts.
+ Must have a current and active Secret clearance with the ability to obtain and maintain a Top Secret clearance.
**Preferred Qualifications:**
+ Experience in leading supply chain activities in the Aerospace and Defense industry
+ Demonstrated success in leading managers and/or professionals to achieve projects cost, performance, and schedule requirements.
+ Ability to create and maintain trusted relationships with internal and external customers.
+ Experience in managing cost-reimbursable subcontracts.
**Salary Range:** $119,300 - $197,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Manager Programs 2 - Capital & Facilities2024-03-15T06:15:36-04:00https://northropgrumman.jobs/76948B6744B64D1CAB6DEBCAAFCAD97726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman, Mission Systems (NGMS)** is looking for a **Manager, Programs Level 2** to support the Microelectronics Designs and Applications (MDA) in the Microelectronics Center in **Annapolis Junction or Linthicum, MD** . The individual will be part of a rapidly growing business area focused on groundbreaking technologies and their application to critical customer missions. The primary objective will be the delivery of all contractual requirements within cost and on schedule, while achieving all technical requirements and creating customer intimacy to support value creation strategies and actions.
The NGMC pushes the boundaries of innovation, redefines the leading edge of exotic new technologies in computation, physics, and AI. One of our most challenging new fields is the Microelectronics Designs and Applications (MDA) Business Area (BA), which combines the unique properties of superconductivity and quantum mechanics to develop radical new energy-efficient computing systems. Our team is chartered to transform computing beyond Moore's Law, advancing development of computer architectures, processing/memory subsystems, and large-scale high performance computing systems. You'll work in a fast-paced team environment alongside a broad array of scientists and engineers to make these processing solutions a reality and deliver remarkable new advantages to the warfighter.
The MDA is seeking a Program Manager to serve as the Business Area's focal person for facility growth across the BA. This individual will work across all business area programs to understand current and expected growth areas, seating, laboratory, and manufacturing facility needs. The Program Managers are responsible for the cost, schedule and technical performance of the facility programs. This manager will need to be able to communicate plans and progress to others across the organization including executive level briefings on metrics with associated progress on facility growth across the business area. The growth in this area will be in multiple locations in the US and across a wide range of engineering and science disciplines, including, systems, software, architecture, design, test, physics, and materials science.
**Key roles and responsibilities include the following:**
+ Serve as the focal point for MDA capital projections across all programs.
+ Work with other program managers, security, and technical leads to understand and document the facility requirements.
+ Collaborate with facilities, global supply chain, business management, maintenance department on all MDA projects to ensure they meet needs and requirements of the BA.
+ Participate in and support technical design reviews and/or facilitate vendor support of design reviews.
+ Successfully lead multiple facility projects and track cost and schedule.
+ Provide guidance for schedules to ensure ability to achieve BA business and technical objectives.
+ Strong leader capable of pulling together effective meetings with peers and stakeholders to collect feedback, assess gaps, define best practices and best path forward.
+ Thorough risk & opportunity management to include identification, mitigation and realization.
+ Perform other duties and responsibilities as assigned.
+ This position may also include other program management activities associated with efficiently running the MDA.
+ Create and maintain financial plans for multiple facility projects.
+ May require travel 20% or more to MDA locations across the U.S.
**Basic Qualifications:**
+ Bachelor's Degree and 9+ years', Master's Degree and 7+, or a PhD and 4+ years of experience supporting Government contracts and/or project management in other industries.
+ Demonstrated success leading teams/and or organizations to achieve a common goal.
+ Experienced technical leader with the ability to work effectively in a collaborative team environment.
+ Proven experience defining, monitoring, and controlling program requirements as applicable.
+ Experience identifying, analyzing, tracking, and reporting on risk and opportunities.
+ Experience managing priorities and stakeholders effectively in an environment of constant change.
+ Ability to update and analyze financial data from a variety of sources.
+ Excellent verbal and written communication skills.
+ Willingness/ability to obtain and maintain an Active PMP (Project Management Professional), PgMP (Program Management Professional) Certification, and/or Defense Acquisition Workforce Improvement Act (DAWIA) Level 3 certification in Program Management.
+ US Citizenship.
+ Ability to obtain and maintain an active TS/SCI clearance with full-scope polygraph.
**Preferred Qualifications:**
+ Active TS/SCI with full-scope polygraph.
+ Active PMP Certified.
+ Earned Value Management experience.
+ Demonstrated success leading high performance teams as technical, integrated product team, and/or functional leader.
+ Experience in facilities and/or general contracting.
+ Ability to apply knowledge, insights and understanding of business models (commercial and defense) and financial concepts, tools and processes to drive performance and profitability.
**Salary Range:** $145,000 - $227,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Melbourne) Facilities Planner / Principal Facilities Planner (Level 2 or 3)2024-03-15T06:15:36-04:00https://northropgrumman.jobs/D343FE06B9D348FDAB51B04F88C189AF26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems sector is seeking either a **Facilities Planner or Principal Facilities Planner** to join our team of qualified, diverse individuals. This position is located **on site** in **Melbourne, FL** .
**Essential Functions:**
+ Uses AutoCAD to design, plan and integrate construction drawings with as built drawings. Creates facility site plans and CAFM/IWMS drawing system updates
+ Develops drawings and other deliverables to support planning scenarios which may be generated internally by Facilities Management or other company requests
+ Plans utilization of space and facilities consistent with requirements of organizational efficiency, and available facilities and funds
+ Acts as primary facilities contact for new requests, to gathers requirements and proposes potential solutions
+ Establishes milestones and monitors adherence to master plans, budgets and schedules
+ Identifies problems and develops solutions such as allocation of resources or changing project scope
+ Maintains Archibus Integrated Workplace Management System, updates floor plans and keeps employee location data current
+ Reviews and maintains file systems and data management
+ Continuously monitors company forecasts and updates long range Facilities plans accordingly
+ Continuously monitors building occupancy data, including physical audits of spaces
+ Acts as the main focal point for furniture rearrangements, including availability of stock material
This position may be filled as either a level 2 or level 3 Facilities Planner.
**Basic Qualifications for Facilities Planner Level 2:**
+ Bachelor's Degree with 3 years of experience OR a Master's degree with 1 year of experience OR 7 years of experience in lieu of a degree
+ Proficiency in AutoCAD
+ Ability to obtain and maintain a DoD Secret clearance and special program access a necessary to meet business requirements
**Basic Qualifications for Principal Facilities Planner Level 3:**
+ Bachelor's Degree with 6 years of experience OR a Master's degree with 4 years of experience OR 10 years of experience in lieu of a degree
+ Proficiency in AutoCAD
+ Ability to obtain and maintain a DoD Secret clearance and special program access a necessary to meet business requirements
**Preferred Qualifications for Facilities Planner/Principal Facilities Planner:**
+ Bachelor's Degree in an engineering, architectural or facilities related field
+ Experience working in an architectural or facilities related field
+ In depth knowledge of Building Codes
+ Proficiency in Integrated Workplace Management Software - Archibus Preferred
+ Proficiency in Microsoft tools such as MS PowerPoint, Project, Excel, Word, and Outlook
+ Proficiency in Microsoft Visio and Project
+ Active DoD Secret Security Clearance
We offer flexible work arrangements, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees!
At Northrop Grumman, we are on the cutting edge of innovation-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule, and a great 401K matching program.
**Salary Range:** $62,200 - $93,400
**Salary Range 2:** $76,600 - $115,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-San Diego) Principal / Sr Principal Project Management2024-03-15T06:15:36-04:00https://northropgrumman.jobs/F459AA2F34E04DFC86AD3FE1F8771BE326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems is seeking an experienced **Principal** **Project Manager/ Sr. Principal Project Manager** to join our team of qualified, diverse individuals. This position will be located in in **Palmdale, Rancho Bernardo, or El Segundo, CA** , with some travel between those sites as required.
This project management position will support the Program Manager or IPT Lead and leadership team on a restricted effort in Rancho Bernardo, El Segundo or Palmdale, CA, with some travel between those sites as required. Teleworking is not available as an option. The overall responsibilities include executable tasks that will enable program leadership to achieve operational excellence.
Primary duties will include facilitating cross-IPT and cross-function integration, managing the operational aspects of ongoing projects, and serving as liaison between project management and planning, project teams, other management team members, and program Functions.
Regular responsibilities may include the following:
- Review status of projects and budgets, manage schedules, and prepare status reports
- Assessment of project issues and development of resolutions to meet productivity, quality, and client-satisfaction goals and objectives. Development of mechanisms for monitoring project progress and for intervention and problem solving with project managers, line managers, and clients.
- Tool and metric development, and management of small projects within the larger program and business area
- Support of new business proposal writing and team organization
- Support of internal and customer meetings including meeting logistics, presentation material templates, collection, and content generation, meeting attendance for on-site integration, action item tracking, and post-meeting follow-up
- Maintaining organization charts
- Conduct business travel up to 25% of the time from assigned work location to Palmdale or Rancho Bernardo, CA.
Must be highly detail-oriented, organized, proactive, and a skilled communicator.
**Basic Qualifications for Principal Project Management:**
Bachelor's degree from an accredited university and 6 years of experience in Project Management, Program Integration/Execution; 4 years with master's degree
Experience with Microsoft Office Products (PowerPoint, Word, Excel, Project)
Knowledge of Project Management principles
Current, active DoD Top Secret clearance within 4 years of last investigation / adjudication
Must be able to attain and maintain Special Program Access (PAR/SAP) within a reasonable amount of time as determined by business needs
**Basic Qualifications for Sr. Principal Project Management:**
Bachelor's degree from an accredited university and 10 years of experience in Project Management, Program Integration/Execution; 8 years with master's degree
Experience with Microsoft Office Products (PowerPoint, Word, Excel, Project)
Knowledge of Project Management principles
Current, active DoD Top Secret clearance within 4 years of last investigation / adjudication
Must be able to attain and maintain Special Program Access (PAR/SAP) within a reasonable amount of time as determined by business needs
**Preferred Qualifications:**
Current Special Program Access
Master's Degree
Project integration experience
Experience with formal Risk Management including tools and Risk Management process
Possess the ability to adapt quickly in a rapidly changing environment
Be able to organize and execute tasks well and to a level of completion that creates value given vague success criteria
Able to learn new processes and technical information quickly
Excel in an environment with multiple stakeholders giving input into tasks
Have good communication and work well with cross-functional and diverse groups
CAM Certification or related experience, PMP Certification
Aerospace and/or Defense Industry Experience
**Salary Range:** $88,700 - $133,100
**Salary Range 2:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Associate Manufacturing Systems/Manufacturing Systems2024-03-15T06:15:35-04:00https://northropgrumman.jobs/145B2B45797E4045877E59291412662326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for an **Associate** **Manufacturing Systems Engineer (Level 1) or Manufacturing Systems Engineer (Level 2)** to join our team of qualified, diverse individuals. This position will be located in **Palmdale, CA.**
As a member of the Advanced Manufacturing Technology & Innovation development team, you will define, develop, implement, and transition new technology solutions to manufacturing to increase efficiency, reduce cost, and improve quality. Manufacturing concept and process solutions for production applications cover advanced technologies including but not limited to composites fabrication, assembly, automation, inspection, direct part manufacturing, LO technologies, as well as digital capabilities. The role requires incorporation of requirements into development plans and evaluation of performance of processes and tools to verify their efficiency. In addition, you will identify and initiate corrective action of problems and deficiencies to ensure R&D contractual obligations (technical, schedule, and cost) are met and product quality is maintained. In this role, you will provide guidance regarding design concepts, TRL/MRL maturity, and specification requirements to best utilize resources and manufacturing techniques, and ensure processes and procedures are in compliance with regulations. This role involves interfacing with internal and external customers, program offices, engineering disciplines, and executive management. In addition, the role will require the ability to handle multiple projects concurrently in a dynamic/fast-paced work environment. Budgeting and Project Management skills will be utilized to properly scope and execute assigned work and manage project efforts.
**This position may be hired at a higher level.**
**Basic Qualifications for Associate** **Manufacturing Systems** **(Level 1):**
+ Bachelor's Degree in a STEM (Science, Technology, Engineering, Math) discipline with 0 or more years of experience in engineering, aviation, systems engineering, aerospace, or manufacturing/production
+ Must have the ability to obtain and maintain DoD Secret Clearance
+ Must have the ability to obtain and maintain Special Program Access
**Basic Qualifications for** **Manufacturing Systems ( Level 2):**
+ Bachelor's Degree in a STEM (Science, Technology, Engineering, Math) discipline with 1 or more years of experience in engineering, aviation, systems engineering, aerospace, or manufacturing/production; OR Master's Degree with 0 or more years of experience
+ Must have the ability to obtain and maintain DoD Secret Clearance
+ Must have the ability to obtain and maintain Special Program Access
**Preferred Qualifications:**
+ A current and active DoD Secret level security clearance with a periodic re-investigation OR an original adjudication date completed within the last 5 years.
+ Current Special Program Access.
+ Demonstrated technical leadership skills, decisiveness, and ability to make sound technical judgments with limited information.
+ Well-versed, skilled, and disciplined in system engineering processes.
+ Experience with using Microsoft Office Products (Word, PowerPoint, Excel, MS-Project, Visio).
+ Experience in the development, integration, and deployment of network architecture and digital infrastructure.
+ Experience in generating requirements, specifically, the ability to turn vague customer needs or stakeholder inputs into requirements.
+ Experience working with IPTs, other stakeholders, and various customers such as Air Force and Navy
+ Proposal experience, and experience in other early phases of program lifecycle
+ Advanced Communication Systems Experience.
+ Prior experience operating in a dynamic environment of increasing production schedules and high delivery rates.
+ Siemens NX, TcE, CPLM, SAP, and Manufacturing Execution Systems.
+ CAM Experience.
+ Experience in hardware/software integration of manufacturing systems.
+ Experience with production assembly/fabrication including Digital Transformation, Augmented Reality/Virtual Reality, Systems Integration, IIoT, RFID, and/or production manufacturing operations.
+ Extensive experience working with Edge Devices (EUD) (HoloLens1, HoloLens2, Tablets).
+ Working knowledge of classified information systems infrastructure.
+ Digital Manufacturing experience.
+ Architecture, Design & Integration experience for engineering systems and software.
+ Technical Writing capability.
+ Project / Program Management skills (proposal creation, scheduling, budget tracking).
+ Strong Familiarity with Process Development & Controls.
+ Ability to travel 20-35% of the time.
**Salary Range:** $72,100 - $108,100
**Salary Range 2:** $87,200 - $130,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MS-Moss Point) Principal Packaging Designer / Engineer or Sr Principal Packaging Designer / Engineer2024-03-15T06:15:35-04:00https://northropgrumman.jobs/296B80F37284482684CFDCB49F8E502726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Principal Packaging Designer / Engineer or Sr Principal Packaging Designer / Engineer** to join our team of qualified, diverse individuals. This position will be based in **Moss Point, MS.**
+ Position is onsite, unable to accommodate a telecommute/hybrid schedule.
**Essential Functions:**
+ Designs, develops, and tests a wide variety of containers used for the protection, display, and handling of products.
+ Determines packaging specifications according to the nature of the product, cost limitations, legal requirements, and the type of protection required, considering need for resistance to such external variables as moisture, corrosive chemicals, temperature variations, light heat, rough handling, and tampering.
+ Designs package exteriors considering such factors as product identification, sales appeal, aesthetic quality, printing and production techniques.
+ Responsibilities may include documentation management and an understanding of Good Manufacturing Practices (GMPs).
**Basic Qualifications (Principal Packaging Designer / Engineer):**
+ Bachelor's degree with 5 years of experience, Master's degree with 3 years of experience, or a PhD with 0 years of experience.
+ Experience with Computer-Aided Design (CAD) / Computer Aided Manufacturing (CAM) from any industry.
+ Knowledge of aerospace packaging instructions, packaging, and shipping.
+ Knowledge of transportability regarding shipping fixtures.
+ Ability to obtain and maintain a DoD Secret level clearance & Special Program Access within a reasonable amount of time as determined by the company to meet its business needs (after starting).
**Basic Qualifications (Sr Principal Packaging Designer / Engineer):**
+ Bachelor's degree with 9 years of experience, Master's degree with 7 years of experience, or a PhD with 4 years of experience.
+ Experience with Computer-Aided Design (CAD) / Computer Aided Manufacturing (CAM) from any industry.
+ Knowledge of aerospace packaging instructions, packaging, and shipping.
+ Knowledge of transportability regarding shipping fixtures.
+ Ability to obtain and maintain a DoD Secret level clearance & Special Program Access within a reasonable amount of time as determined by the company to meet its business needs (after starting).
**Preferred Qualifications:**
+ Degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline.
+ Active DOD Secret Clearance.
+ Experience with stress analysis (FEMAP).
+ Experience with 3D CAD (CATIA/NX) work within the aerospace/automotive industry.
+ 49 CFR & International Air Transport Association (IATA) Certification.
+ Knowledge of cushion curves in regard to transportability and protecting articles from shipping environments.
+ Understanding of container designing and protection of parts.
**Salary Range:** $84,200 - $126,400
**Salary Range 2:** $104,400 - $156,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Melbourne) Staff Systems Engineer (Melbourne FL or San Diego CA)2024-03-15T06:15:35-04:00https://northropgrumman.jobs/F1FF20B3CAB54229B0387DAA884CD9C326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Aeronautics Systems (AS) sector has an opening for a Staff Systems Engineer. This position can be located in either **Melbourne, Florida or San Diego, California.**
The **Staff Systems Engineer** will serve as a Lead Systems Architect. The Lead Systems Architect is responsible for the coordination and execution of all Systems Engineering activities within Northrop Grumman Aeronautics Systems. This position pushes innovation and redefines engineering capabilities, and drives advances within a diverse team of individuals. Our team is chartered with providing the skills and innovative technologies to develop, produce, implement, and sustain optimized products involving cloud-based technologies. In this role you will interface with multiple Product Owners within an Integrated Product Team (IPT), while interpreting the voice of the customer, thus allowing for guidance to an Agile development team. The candidate will lead and/or interface with a matrixed, cross-sector geographically dispersed team and provide status updates within the IPT & Program and directly to the customer on a regular basis. Travel required: 25%.
The selected candidate will perform architecture definition & documentation, requirements interpretation, management, allocation, and verification. Additional responsibilities to include technical planning, cost & risk assessments, and supportability & effectiveness analyses for of all Ground Systems components and related architectures. Analyses are performed at all levels of total system architectures, covering all products to include concept, design, test, installation, and operation. Ensures the logical and systematic conversion of customer or product requirements into cloud-enabled systems solutions that acknowledge technical, schedule, and cost constraints.
In addition to primary responsibilities, the Lead Systems Architect is expected to perform or support:
- Detailed trade studies & white papers.
- Participate in Technical Review Boards (TRBs), and other program reviews and boards.
- Present technical approaches and findings at technical program reviews to leadership and the customer.
- Provide cross-IPT support for Capture efforts, SSOW/SDRL/CDRL development and execution support.
The Lead Systems Architect will work under consultative direction toward predetermined long-range goals and objectives. This position will lead a team to determine and pursue courses of action necessary to obtain desired results. Development and guidance of advanced technological concepts for cloud-based systems will be the primary focus area. You will be expected to acts as advisor to management and customers on advanced technical capabilities for cloud-enabled architectures.
This position is contingent upon verification of active DoD Secret clearance and ability to obtain Special Access Program clearance.
Basic Qualifications:
+ Bachelor of Science Degree in a STEM (Science, Technology, Engineering or Mathematics) discipline and 14 years of engineering experience OR Master of Science Degree in a STEM discipline and 12 years of engineering experience OR a PhD in a STEM discipline and 9 years of engineering experience.
+ Active **DoD Secret** security clearance with a background investigation date within 6 years
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance.
+ Cloud-based technologies and architectures experience
+ AGILE development methodologies experience.
+ Working knowledge or experience in two or more of the following technical areas: Ground Systems/ Segments, Cross Domain Solutions, Mission Planning Systems, Software Development Models, or Cloud Services
Preferred Qualifications:
+ MS in Systems or Software Engineering
+ Active TS/SCI clearance
+ Experienced in the use of Confluence & Jira
+ Experience in the DOD development environment
+ Experience developing various DODAF view artifacts, especially operational and functional viewpoints
+ Excellent leadership, communications, and interpersonal skills
+ Development of technical solutions to complex problems which require the regular use of ingenuity
+ Proven experience with team engagement in a leadership/team role
+ Operational use and Subject Matter Expertise (SME) in two or more relevant areas:o Computer network systemso Software coding and Infrastructure as Code (IaC)o Azure Cloud Serviceso Kuberneteso Containerization and Microservices Architectureo Edge Computingo DevOps and Continuous Integration / Continuous Deployment (CI/CD)
**Salary Range:** $142,500 - $247,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-WV-Rocket Center) Entry Level Operator(Union)2024-03-15T06:15:34-04:00https://northropgrumman.jobs/897EB6AB8CA34276AE27BC4E17C8C48E26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**This position description does not represent a current opening but may be used to identify candidates with skills and experience for positions within Northrop Grumman that frequently become available. Candidates who express an interest may be considered for future positions at Northrop Grumman. All positions represented by this requisition require candidates to pass the basic skills test prior to interviewing.**
**Please read all instructions below.**
At **Northrop Grumman** , our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
**Northrop Grumman Weapon Systems** designs, builds, and delivers space, defense and aviation-related systems to customers around the world. Our products include launch vehicles, propulsion systems, missile products, subsystems and defense electronics, precision weapons, armament systems and ammunition, satellites and space components and services, and advanced aerospace structures.
**Northrop Grumman** is currently seeking **Operators** for our team in **Rocket Center, West Virginia** , just outside of Cumberland, Maryland.
The **Allegany Ballistics Laboratory (ABL)** site in Rocket Center, designs, develops, and manufactures tactical rocket motors, medium caliber ammunition, composite components and end items, and fuze and electronics equipment. For decades, the dedicated and highly skilled team at ABL has supported national security efforts by developing and building defense systems for DoD customers and U.S. allies.
**Pay Information** : This position falls under the Local 261C union and its 3-year progression. The starting rate it **$14.70** per hour which advances to **$16.70** after 1 year, **$18.70** after 2 years, and between **$25.00** to **$30.00** after 3 years depending on the department.
All rates are set by the union and are non-negotiable.
**Duties:** Could include but not limited to the following:
+ Chamber Prep - Degrease, bond line, paint cases and mold assemble rocket cases.
+ Mix/ Cast - Screen and weigh propellant ingredients, mix propellant, cast motors, disassemble, and machine rocket motors.
+ Final Assembly - Install nozzles and igniters, pressure test, paint and crate motors.
+ Fuze Areas - Machine assembly of fuze parts, electronics, and energetic materials.
+ Composites - Filament winding of rocket motor tubes, hand layup of composite materials.
**You must upload a resume to be considered.**
**Please watch your email frequently as instructions for pretesting will come through email on a first come first serve basis.**
**Basic Qualifications:**
+ High school Diploma or equivalent
+ The ability to pass a drug screen and background check prior to starting.
+ The ability to work any of the 3 shifts is required as shift preference is determined by seniority, coming in lowest in seniority will most likely require starting on an off shift.
+ **Passing the Basic Skills Assessment test onsite** , this test consists of basic questions in the categories of Reading, Math, Problem Solving and Measurement.
+ The ability to obtain a security clearance if necessary.
**Preferred Qualifications:**
+ Previous Manufacturing experience
+ Experience with shift work
**Salary Range:** $15 - $15
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Goleta) Contracts Manager - Payload & Ground Systems2024-03-15T06:15:33-04:00https://northropgrumman.jobs/3DD6F805736C449EB5779F2E53E8F57826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Innovation Systems designs, builds and delivers space, defense and aviation-related systems to customers around the world. Our main products include launch vehicles and related propulsion systems; missile products, subsystems and defense electronics; precision weapons, armament systems and ammunition; satellites and associated space components and services; and advanced aerospace structures.
Northrop Grumman Space Sector is looking for a Contracts Manager 2 to join our team of qualified, diverse individuals within the Payload & Ground Systems Business Unit. This position will be based in Oxnard, CA; Goleta, CA; or Redondo Beach, CA, and will be working onsite. If located in Redondo Beach, this position will require travel one day per week to the Goleta or Oxnard location.
This position is eligible for relocation.
**In this role, the selected candidate will:**
+ Work complex assignments independently and support Finance, Program Management, and various levels of Management
+ Lead proposal preparation, contract negotiation, and contract administration activities from the proposal through closeout
+ Administrate daily contract activities for a variety of product lines and contract types, including cost reimbursable and firm fixed; such activities will include, establishing payment terms, initiating and monitoring invoicing, collections, and funding to support financial objectives
+ Enter and manage data in the financial/delivery system
+ Participate in IPT and customer meetings; performing and monitoring compliance to contract requirements and leading change management process
+ Ensure non-disclosure agreements and contract terms and condition are negotiated within company guidelines
+ Manage direct reports responsible for the administration of the contracts within the portfolio
+ Provide advice and counsel on contractual risks and mitigation to senior leadership
+ Review and approval of contractual documents to protect the company's contractual posture, adherence to company policies, provide advice and counsel to various levels of leadership regarding contractual rights and obligations and interpretation of terms and conditions
**The successful candidate will be able to:**
+ Interpret, apply, and follow contract acquisition rules, company policies and customer specifications
+ Think strategically, trouble shoot issues and work with various teams to drive tasks to completion efficiently and accurately in a dynamic fast paced environment
+ Effectively communicate with both internal and external customers
+ Effectively work with competing priorities and deadlines
+ Support resolution of complex problems while minimizing risk to the Company
+ Be a self-starter and able to work collaboratively with team members
**Basic Qualifications:**
+ Bachelor's degree with 10+ years of experience in a contracts related field - OR - Master's degree with 8+ years of contracts related experience
+ Proficiency with Microsoft Office Suite, specifically Excel and PowerPoint
+ US Citizen
**Preferred Qualifications:**
+ Working knowledge of FAR/DFARS/NFS clauses
+ Experience in the Aerospace, Defense or Manufacturing industry
+ Experience working independently on routine to moderate contract management responsibilities, including contract and regulatory compliance, and negotiations
+ Experience working with terms and conditions
+ Ability to manage multiple contracts at the same time of moderate value and risk level
+ Understanding of Government contracting requirements and international contracting
**Salary Range:** $125,500 - $188,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Supply Chain Procurement Specialist2024-03-15T06:15:33-04:00https://northropgrumman.jobs/4174489E30534A1CBFE593FEE0FDB92626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
As a Supply Chain Procurement Specialist, you will further the success of our programs to help Northrop Grumman leave the boundaries of what's known and solve tomorrow's problems. **This position will be working in our Baltimore, MD facility with hybrid capabilities.**
This position is dynamic and challenging, requiring ingenuity, collaboration, and an insatiable drive to make the impossible possible. We'll support you with training, employee resource groups, and robust benefits as we work together to defend and define the future.
**The Procurement Specialist responsibilities will encompass:**
+ Approaching competitive and non-competitive sourcing in request for quotations
+ Optimizing volume pricing through bid package strategies
+ Evaluating supplier proposals and placing compliant purchase orders
+ Extracting, monitoring, analyzing, and validating data information from multiple sources
+ Developing engaging and collaborative relationships with suppliers and internal partners/customers to drive problem resolution
+ Candidates must be able to make sound decisions in a timely manner, display strong interpersonal skills, and have an agile perspective of compliance protocol mandated by regulated industry.
We offer flexible work arrangements, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. Standard work schedule is a 9/80 with **every other Friday off** .
**Basic Qualifications for Supply Chain Procurement Specialist (Band 2):** A candidate, regardless of age and hiring source, must meet ALL of the below criteria. The candidate must possess:
+ Bachelor's degree with 2+ years of professional experience in supply chain management, or related fields, to include logistics, manufacturing/project management, business management, or information systems
+ Strong written and verbal communication skills
+ Strong organizational and self-sufficient time management skills
+ Demonstrated leadership, critical thinking and innovative behaviors in past projects, roles or experiences
+ Proficiency in MS Office suite
+ Ability to obtain a U.S. Government security clearance (U.S. citizenship is a pre-requisite)
**Preferred Qualifications:**
+ Professional experience in a regulated industry; to include Aerospace & Defense, Insurance, Financial Services, Telecommunication
+ SAP experience
+ Experience with FAR/DFAR, including cyber security requirements (such as FAR 52.204-7012, DFARS 252.204-7012, etc.)
+ Experience using the Ariba SLP Portal for onboarding new NGC suppliers
+ Experience with negotiations with suppliers
**Salary Range:** $62,600 - $93,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Manager Mission Assurance 32024-03-15T06:15:33-04:00https://northropgrumman.jobs/FC8F51791D73414D809B2AF4FA58662826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Northrop Grumman Mission Systems is seeking a Mission Assurance Manager 3 to lead the BWI Factory Quality Engineering Team at our **Baltimore** Campus.
+ Develop, modifies, applies and maintains quality evaluation and control systems and protocols for processing materials into partially finished or finished materials product.
+ Ensuring the Quality/Mission Assurance, Manufacturing, and Engineering processes are consistent with industry capability maturity models and QMS standards (e.g. CMMI-DEV, ISO 9001, and AS9100);
+ Collaborates with engineering and manufacturing functions to ensure quality standards are in place.
+ Devises and implements methods and procedures for inspecting, testing and evaluating the precision and accuracy of products and production equipment.
+ Audits quality systems for deficiency identification and correction.
+ Ensures that corrective measures meet acceptable reliability standards and that documentation is compliant with requirements.
+ May specialize in the areas of design, incoming material, production control, product evaluation and reliability, inventory control and/or research and development as they apply to product or process quality.
+ May be certified in lean and six-sigma quality engineering methodologies.
**Primary Responsibilities:**
+ Manage the Quality Engineering Organization within the larger organizational unit, setting & operating to objectives & providing guidance to subordinates based on goals, objectives & company policy.
+ Regularly performs analysis of alternative courses of action. Decisions have risk/reward implications for program or area of influence
+ Collaborate and coordinate with other functional managers, process center leaders, value stream leaders and value stream owner(s) to meet division, value stream, and quality objectives.
+ Establishes operating procedures that affect organizational unit(s). Interprets, executes, and recommends modifications to organizational policies. Establishes operating policies and procedures that affect subordinate organizational units.
+ Collaborate and coordinate with the manufacturing and design teams to achieve product and site goals and overall program quality, delivery, and cost objectives are achieved.
+ Accountable for Quality Engineering resource and budget planning
+ Accountable to ensure Quality Management System is effective and followed.
+ Review trend metrics and analyze misses
+ Facilitate root cause determination and resolve quality issues, including nonconformance (NC), corrective action (CA)
+ Responsible for ensuring functional management goals and processes are meaningful, data driven, and being executed to plan.
**Basic Qualifications:**
+ Bachelor's degree with a minimum of seven (7) years of relevant work experience OR a Master's Degree with five (5) years of relevant work experience.
+ Minimum of five (5) years experience leading teams
+ US Citizen.
+ Ability to obtain and maintain a Secret clearance
+ Excellent communication skills
**Preferred Qualifications:**
+ Bachelor of Science degree OR Master of Science Degree (Industrial or Mechanical Engineer)
**What We Can Offer You -**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $156,800 - $235,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(AUS-Fairbairn) Maintenance Operations Controller2024-03-15T06:15:32-04:00https://northropgrumman.jobs/0D9708E0293642B6A964D81326C0748C26We're building a reputation as the place to be in Defence. With strong local management, sound investment and a fresh approach, we're on an exciting trajectory and building unique capability. Leveraging the kind of leading-edge US capabilities and technology most can only imagine our close-knit 800-strong team is busy delivering World-leading innovative and sustainable solutions to safeguard Australia's future. Together we're growing a business where everyone contributes and everyone matters.
Since 2001, Northrop Grumman has delivered Through-Life Support to the Royal Australian Air Force's 34 Squadron located at RAAF Fairbairn in Canberra. The high-performing Northrop Grumman SPA (Special Purpose Aircraft) team is comprised of approximately 50 staff who provide all levels of maintenance, training and logistics support to the fleet of two Boeing BBJ's and three Dassault Falcon 7X's Business Jets.
**Your Role**
Reporting to the SPA 145 Operations Manager, the **Maintenance Operations Coordinator** (MOC) is a critical member of our exceptional SPA AMO team. As MOC, your mission is to orchestrate and streamline maintenance and servicing operations, ensuring they align with our rigorous customer requirements and adhere to strict regulatory standards. As a central figure in our operations, you will facilitate seamless collaboration between teams like CAM Services, Maintenance Watch, and the AMO workforce consisting of Ground Handlers and LAME's. This role involves not just coordination, but strategic workforce management, ensuring smooth shift transitions, and commitment to maintenance program delivery in a dynamic operational environment.
In this position, your influence goes far beyond daily operations. You will lead the way in maintaining superior service delivery standards while strategically managing operational costs. Your role is pivotal in fostering strong relationships with stakeholders, offering crucial after-hours support, and effectively overseeing maintenance scheduling and support. Engaging in audits and process improvements, you will play a key role in guiding our procedures towards excellence. Your expertise and forward-thinking approach are essential in managing the intricate balance of customer satisfaction, safety, and quality in our dynamic and continuously evolving environment.
**About You**
You're a motivated professional with a robust background in Aviation Management or Engineering, complemented by a Civil or Military Aircraft Trade Certificate. You stand out with your comprehensive knowledge of maintenance control systems and a thorough understanding of aircraft maintenance regulations. Your strong communication skills make you comfortable in collaborative, multi-disciplinary teams, and you're proficient in utilising advanced IT systems for aircraft scheduling and maintenance recording.
Capable of obtaining a CASA Form 4, you have demonstrated experience in managing contractual KPIs for aircraft availability. Your abilities extend beyond just technical skills, encompassing project management and stakeholder engagement in regulated settings. You're seeking more than a job; you're after a career path where you can grow significantly and develop. This role is your chance to excel in a setting that recognises your contributions, focuses on your professional growth, and celebrates your advancement towards new professional heights.
**What we Offer:**
Whatever your role, life stage or background, you can shape your career here in your way with highly challenging work, great colleagues and career development, plus a range of flexible benefits and working patterns.
+ Professional Development - further education, leadership development, professional industry memberships and unlimited access to a range of online training
+ Purchased annual leave
+ Salary packaging including novated car leases
+ Generous paid parental leave
+ Volunteer Leave
+ Health & Wellbeing program
+ Employee Assistance Program
**Everyone Matters:**
Doing the Right Thing and Sharing Success are two values underpinning how we behave at Northrop Grumman. Here, everyone matters and we proudly encourage individuals from all backgrounds and all abilities to apply to join our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.
As a Defence security clearance is required for this role, you must be an Australian Citizen. International Traffic in Arms Regulations (ITAR) are applicable, as such your nationality may be a factor in determining your suitability for this role. You will also need to satisfy police checks and employment screening verification.
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.(USA-UT-Clearfield) Sr. Principal Program Cost and Schedule Control Analyst2024-03-15T06:15:32-04:00https://northropgrumman.jobs/E5453935B60A42B49B80615A7FE4549726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems (NGAS)** is seeking a qualified **level 4 Sr. Principal Program Cost and Schedule Control Analyst** to join our team of high performing, diverse individuals. This position will be located **on site in Clearfield, UT** and requires working from our NG facility. **An active DoD Secret Clearance required.**
Essential Functions:
This Program Cost & Schedule Analyst position will, under minimal supervision, interface with the program managers, functional management, and cost account managers (CAMs) to provide financial and administrative support and analysis to meet program requirements. The Program Cost & Schedule Analyst will have experience with monthly performance management, financial planning and reporting, and performance measurement baseline concepts; applied across multiple contracts, working with an Integrated Product Team (IPT). This position will also have some experience with proposal, pre-contract, and contract startup. Good leadership skills & the ability to work with the Program Office while leading a smaller team are essential.
Additional responsibilities will include:
+ Prepare budgets and schedules for contract work and perform and/or assists in financial analyses such as funding profiles, sales outlook, and variance analysis
+ Prepare program plans to ensure program requirements and statement of work are captured and scheduled
+ Perform analyses and prepare reports in order to ensure that contracts are within negotiated and agreed-upon parameters and government cost control guidelines
+ Ensure adequate funding availability by maintaining accurate records of expenditures, directing preparation of expenditure projections, and submitting timely requests for additional funding to the government
+ Conduct cost analysis to develop EACs, generate financial inputs, and support monthly cost and schedule reviews
+ Identify pressures and opportunities, and develop recovery plans as required
+ Set up cost control systems (e.g. CostPoint and COBRA) and incorporate changes into the cost management system to maintain cost and schedule baselines
+ Provide support to Integrated Product Teams, Cost Management, and Business Management Managers
+ Assist CAMs with EVMS activities including: WBS alignment, time phasing of the tasks, developing and documenting earned value methodologies, monthly performance measurement, estimate to complete analysis and updates, and variance analysis research and reporting
+ Support audits and reviews requested by programs, customers, and company management
+ Prepare government cost performance reports and preparation and/or review of performance measurement variance analysis (e.g. CFSR, CSDR, IPMR)
+ Earned Value Management Program Experience with familiarity to EIA-748 ANSI EV Guideline Reviews, and SOX Controls
**Basic Qualifications:**
+ Bachelor's degree with 9 years proven experience in program control, cost planning, finance and/or similar industry related fields OR Master's degree with 7 years proven experience in program control, cost planning, finance and/or similar industry related fields
+ Experience with MS Office including Excel (with Macros, Pivot tables and VLOOKUP) and PowerPoint
+ Experience utilizing Earned Value Management principles and practices
+ Active Secret Clearance issued by the Department of Defense with the ability to obtain and maintain program access
**Preferred Qualifications:**
+ Possess intermediate to advanced skills working in Deltek systems like Cobra and/or MPM
+ Experience with CostPoint and/or SAP
+ Experience successfully supporting a DoD monthly reporting & financial rhythm
+ Experience in Integrated Baseline Review (IBR) and DCMA Joint Surveillance Reviews (JSRs)
+ Understanding of and experience with providing support to Integrated Product Teams (IPT)
+ Candidate must gain and have an understanding of, and adherence to, company policies, procedures and internal controls
+ Ability to obtain and maintain a DoD Secret within a reasonable period of time, as determined by the company to meet its business needs
We offer phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly collaborative workplace. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do. Does this sound like you?
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself! Every ERG is inclusive of all employees!
At Northrop Grumman, we are innovating-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule and a great 401K matching program.
**Salary Range:** $95,000 - $142,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Fort Meade) Staff Cyber Systems Administrator (SB)2024-03-15T06:15:31-04:00https://northropgrumman.jobs/6590707065ED4EA0B51979C08B60381526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Maintains smooth operation of multi-user computer systems, including coordination with network administrators. Interacts with users and evaluates vendor products. Makes recommendations to purchase hardware and software, coordinates installation and provides backup recovery. Develops and monitors policies and standards for allocation related to the use of computing resources. Ancillary duties may include setting up administrator and service accounts, maintaining system documentation, tuning system performance, installing system wide software and allocating mass storage space.
**Roles and Responsibilities:**
The candidate will be filling a role that requires a broad array of knowledge and skills heavily focused on Systems Administration and system engineering working with team members to support a Cyber Security program at a customer site. We're looking for a highly motivated individual with an impeccable work ethic and a strong ability to work in a collaborative fast-moving dynamic team environment.
**Responsibilities include:**
+ Maintains smooth operation of multi-user computer systems, including coordination with Cyber Systems Engineers.
+ Interacts with users and evaluates vendor products.
+ Makes recommendations to purchase hardware and software, coordinates installation, and provides backup recovery.
+ Develops and monitors policies and standards for allocation related to the use of computing resources.
+ Ancillary duties may include setting up administrator and service accounts, maintaining system documentation, tuning system performance, installing system wide software and allocating mass storage space.
+ Periodically conduct a complete review of each system's audits and monitor corrective actions until all actions are closed.
**Basic Qualifications:**
+ Bachelor's degree in a related field, plus 15 years of experience in Systems Administration; or 19 years of Systems Administration experience without a degree
+ US Citizenship is required with a current and active DoD TS/SCI with Polygraph, updated within the last 5 years is required to be considered.
**Preferred Qualifications:**
+ Prior administration of virtualization environments using technologies such as ESX, storage provisioning and networking of virtual systems
+ Possess a DoD 8570 Certification for IAT Level II or higher.
+ Experience configuring, administering and maintaining computer systems (Such as: Windows 10, Server 2012R2, Server 2016, Active Directory, RedHat Enterprise Linux)
+ Experience writing standard operating procedure documentation and developing system cold-start documentation
+ Experience maintaining and operating virtual environments for servers and workstations
+ Experience configuring, administering, and maintaining patch deployment and management systems and providing patch management status reporting to management
+ Experience configuring, monitoring, maintaining, and administering computer backup and recovery solutions and providing recovery status reporting to management
+ Experience writing scripts (e.g. Batch, Bash, PowerShell, Python) to automate routine tasks
**Salary Range:** $149,900 - $224,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MS-Iuka) Dimension Control Technician 3/42024-03-15T06:15:31-04:00https://northropgrumman.jobs/A716B18F58FF48AE8B05A221C5FF9F6C26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems Business Unit (ASBU) has openings for a **Dimension Control Technician 3/4** to join our team of qualified, diverse individuals. This position will be located in **Iuka** **,** **MS.**
TheDimension Control Technician will be a part of the Tool Die Making team within the Metrology department and will have considerable knowledge of the job with substantial acquaintance with an understanding of general aspects of the job with a broad understanding of the detailed aspects of the job and be able to perform more advanced functions and resolve routine questions and problems.
The ideal candidate for this role will have excellent verbal and written communication skills with the ability to communicate, and collaborate across all levels, will thrive in a fast-paced team-oriented work environment with high expectations, enjoy significantly diverse assignments, demonstrate a high attention to detail to ensure accuracy of the product and have high integrity to self-report errors to a supervisor when detected. If you are comfortable in this high-energy environment and enjoy having a variety of tasks to keep you engaged, this is the opportunity for you!
****This position requires a willingness to work any shift including weekends and requires onsite presence due to the nature of the role.**
**Essential Functions / Responsibilities:**
+ Lay out, fabricate, and assemble a variety of standard and nonstandard major jigs, fixtures, tool masters, master tooling gauges, and related tooling involving compound angels and complex contours where the establishment and coordination to exacting tolerances of numerous tooling holes and reference points between several planes are required.
+ Plan work to be performed and determines methods and sequence of operations working from tool design drawings or own designs.
+ Sets up and operates optical instruments to check overall and detailed alignment, fit, or adjustment of assemblies.
+ Develop and designs holding devices and jig and fixture details.
+ Prepare operational sequences and shop drawings covering parts fabrication and assembly to be performed by others.
+ May monitor and verify quality in accordance with statistical process or other control procedures.
+ Collaborate with engineers, machinists, and other production personnel to ensure jigs and fixtures are integrated seamlessly into the manufacturing process.
+ Communicate with team members and supervisors to address any issues, concerns, or improvements related to jig and fixture design, fabrication, or assembly.
+ Participate in continuous improvement initiatives to optimize jig and fixture performance and overall manufacturing efficiency.
+ Perform routine maintenance on jigs and fixtures, including cleaning, lubrication, and part replacement as necessary.
+ Identify and repair damaged or worn components to ensure the continued accuracy and functionality of jigs and fixtures.
+ Develop and implement preventative maintenance plans to maximize the lifespan and performance of jigs and fixtures.
**This requisition may be filled as a Level 3 or Level 4 depending on the years of relevant experience.**
**Basic Qualifications:**
**DCT Level 3:**
+ High school diploma or equivalent with 4 years of experience in fabrication, milling machining, or power tools.
+ Ability to frequently move and position objects weighing 35 pounds and ascend and descend ladders.
+ Ability to work inside and outside in a warehouse environment and a shop floor environment.
+ Ability to work within MS applications i.e., MS Word, Excel, and Adobe PDF
+ Ability to work All shifts as required (A: M-Th 10/Day AM, B: M-Th 10/Day PM, C: F-Sun 12/Day AM, D: F-Sun 12/Day PM)
+ The ability to obtain and maintain Secret DoD Clearance AND Special Access Program (SAP) clearance within a reasonable timeframe as required by the business prior to the commencement of employment.
**DCT Level 4:**
+ High school diploma or equivalent with 6 years of experience in fabrication, milling machining, or power tools.
+ Ability to frequently move and position objects weighing 35 pounds and ascend and descend ladders.
+ Ability to work inside and outside in a warehouse environment and a shop floor environment.
+ Ability to work within MS applications i.e., MS Word, Excel, and Adobe PDF
+ Ability to work All shifts as required (A: M-Th 10/Day AM, B: M-Th 10/Day PM, C: F-Sun 12/Day AM, D: F-Sun 12/Day PM)
+ The ability to obtain and maintain Secret DoD Clearance AND Special Access Program (SAP) clearance within a reasonable timeframe as required by the business prior to the commencement of employment.
**Preferred Qualifications:**
+ An Associates degree with knowledge of laser tracking with assembly tools in an aircraft manufacturing environment.
+ Knowledge of Metrology Processes including setting details with a laser tracker.
+ Able to operate and or be certified to operate a forklift and overhead crane (Northrop Grumman provided training)
+ Tool and Die or related experience
+ Creating working build models in software products in BuildIT or Verisuf is also highly desired.
**What we offer:**
At Northrop Grumman, we are on the cutting edge of innovation -- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer comprehensive benefits including competitive medical and dental options, a great 401K matching program, college education assistance, annual bonuses, 14 Employee Resource Groups inclusive of all employees, and opportunities for career advancement across North America
**Salary Range:** $56,200 - $93,600
**Salary Range 2:** $66,700 - $111,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Redondo Beach) Principal Network Administrator2024-03-15T06:15:31-04:00https://northropgrumman.jobs/B4493CE0E3B2415387EC0F3D53654CDE26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Classified Solutions is seeking a well-qualified Network Administrator to join its dynamic team of technical professionals in Redondo Beach, CA or in Linthicum, MD. Please note that due to the classified nature of this position, the selected candidate will be required to work on-site, full-time at our Redondo Beach, CA or Linthicum, MD campus, and that this is not a remote work opportunity.
**Essential Functions:**
+ Maintain smooth operation of classified network.
+ Plan, evaluate, configure, and install network hardware in accordance with customer requirements.
+ Maintain technical expertise in all areas of networks and computer hardware and software interconnection and interfacing, such as routers, multiplexers, firewalls, hubs, bridges, gateways, etc.
+ Create and ensure that appropriate network documentation exists, including operational instructions.
+ Provide regular monitoring and network analysis regarding short- and long-range planning for in-house systems.
+ Perform troubleshooting analysis of network infrastructure and associated systems.
+ Install and configure Cisco routers, switches, and firewalls.
+ Coordinate third-party maintenance for network equipment.
+ General knowledge of Windows server and desktops required, in order to help server administrators as required with server and desktop tasks.
+ The successful applicant will demonstrate the ability to work in a team environment with engineering users, other network administrators, systems administrators, and computer/facility organizations.
+ The ability to multi-task and good communication skills, both verbal and written, are required.
**Basic Qualifications:**
+ Associate's degree and 7 years of experience, or Bachelor's degree and 5 years of experience, or Master's degree and 3 years of experience; a High School diploma or equivalent and 9 years of experience may be considered in lieu of a completed degree .
+ IAT Level II certification is required on Day 1 (Security+CE, CCNA-Security, SSCP, etc.); maintaining the required certification will be a condition of continued employment.
+ Active DoD Top Secret security clearance required on Day 1 of employment; the ability to maintain the required security clearance will be a condition of continued employment.
+ The selected candidate will be required to obtain and maintain a Special Access Program (SAP/SAR) clearance as a condition of continued employment.
+ Experience maintaining LAN & WAN based networks.
+ Experience with managing routing protocols on large LAN environments.
+ Experience with network hardware including routers, switches, and firewalls.
+ Experience with Microsoft Office, Visio, Project, PowerPoint, and Excel.
+ Ability to lift equipment weighing up to 40 pounds.
+ Ability to work after hours and weekends as needed.
**Preferred Qualifications** :
+ Bachelor's or Master's Degree in Information Systems related field.
+ Experience operating under and managing systems within NISPOM Chapter 8, DCID 6/3-ICD 503, RMF, STIG, JAFAN, or JSIG information system environments.
+ VMWare Systems Administration and/or Cloud Architect certification(s).
+ Experience troubleshooting network procedures and best practices.
+ Experience with network challenges associated with systems integration, including COTS integration, capacity analysis, and system architecture design.
+ Demonstrated experience in the use of network analysis and reporting tools (i.e. WireShark, Opnet, Solarwinds, syslogs, etc.).
+ Experience deploying LAN and WAN based networks.
+ Experience performing operations in an Agile framework.
+ Familiarity with configuration, administration, and maintenance of SolarWinds software.
+ Industry vendor certifications from Juniper (JNCIA, JNCIS, JNCIP, JNCIE) and Cisco (CCNA, CCNP).
+ Familiarity with authentication & encryption methods/capabilities (TACACS+, Active Directory, GEM-X) and Virtualization technologies such as VMWare vCenter and vSphere .
ESNetAdmin
**Salary Range:** $93,000 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) Dimension Control Technician 1/22024-03-15T06:15:31-04:00https://northropgrumman.jobs/F27804EB69C143DAA0DD1BAD051C208926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems Business Unit (ASBU) has openings for a **Dimension Control Technician 1/2** to join our team of qualified, diverse individuals. This position will be located in **Clearfield, UT.** We are a world-class manufacturer of composite structures, radomes, and antenna systems for military applications. We design and manufacturer solutions for our war fighters to keep our country safe and maintain peace on a global scale.
TheDimension Control Technician will be a part of the Tool Die Making team within the Metrology department and will have considerable knowledge of the job with substantial acquaintance with an understanding of general aspects of the job with a broad understanding of the detailed aspects of the job and be able to perform more advanced functions and resolve routine questions and problems.
The ideal candidate for this role will have excellent verbal and written communication skills with the ability to communicate, and collaborate across all levels, will thrive in a fast-paced team-oriented work environment with high expectations, enjoy significantly diverse assignments, demonstrate a high attention to detail to ensure accuracy of the product and have high integrity to self-report errors to a supervisor when detected. If you are comfortable in this high-energy environment and enjoy having a variety of tasks to keep you engaged, this is the opportunity for you!
****This position requires a willingness to work any shift including weekends and requires onsite presence due to the nature of the role.**
**Essential Functions / Responsibilities:**
+ Lay out, fabricate, and assemble a variety of standard and nonstandard major jigs, fixtures, tool masters, master tooling gauges, and related tooling involving compound angels and complex contours where the establishment and coordination to exacting tolerances of numerous tooling holes and reference points between several planes are required.
+ Plan work to be performed and determines methods and sequence of operations working from tool design drawings or own designs.
+ Sets up and operates optical instruments to check overall and detailed alignment, fit, or adjustment of assemblies.
+ Develop and designs holding devices and jig and fixture details.
+ Prepare operational sequences and shop drawings covering parts fabrication and assembly to be performed by others.
+ May monitor and verify quality in accordance with statistical process or other control procedures.
+ Collaborate with engineers, machinists, and other production personnel to ensure jigs and fixtures are integrated seamlessly into the manufacturing process.
+ Communicate with team members and supervisors to address any issues, concerns, or improvements related to jig and fixture design, fabrication, or assembly.
+ Participate in continuous improvement initiatives to optimize jig and fixture performance and overall manufacturing efficiency.
+ Perform routine maintenance on jigs and fixtures, including cleaning, lubrication, and part replacement as necessary.
+ Identify and repair damaged or worn components to ensure the continued accuracy and functionality of jigs and fixtures.
+ Develop and implement preventative maintenance plans to maximize the lifespan and performance of jigs and fixtures.
We offer flexible work schedules, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
**This requisition may be filled as a Level 1 or Level 2 depending on the years of relevant experience.**
**Basic Qualifications Level 1:**
+ High school diploma or equivalent with 0 years of experience
+ Experience devising reference systems and creating working build models.
+ Experience in fabrication, milling machining, or power tools.
+ Ability to frequently move and position objects weighing 35 pounds and ascend and descend ladders.
+ Ability to work inside and outside in a warehouse environment and a shop floor environment.
+ Ability to work within MS applications i.e., MS Word, Excel, and Adobe PDF.
+ Ability to work any shift including nights and weekends.
+ The ability to obtain and maintain Secret DoD Clearance AND Special Access Program (SAP) clearance within a reasonable timeframe as required by the business prior to the commencement of employment.
**Basic Qualifications Level 2:**
+ High school diploma or equivalent with 2 years related experience with tool building and/or laser tracking in a manufacturing environment.
+ Experience devising reference systems and creating working build models.
+ Experience in fabrication, milling machining, or power tools.
+ Ability to frequently move and position objects weighing 35 pounds and ascend and descend ladders.
+ Ability to work inside and outside in a warehouse environment and a shop floor environment.
+ Ability to work within MS applications i.e., MS Word, Excel, and Adobe PDF.
+ Ability to work any shift including nights and weekends.
+ The ability to obtain and maintain Secret DoD Clearance AND Special Access Program (SAP) clearance within a reasonable timeframe as required by the business prior to the commencement of employment.
**Preferred Qualifications:**
+ Active Secret DoD Clearance
+ Working knowledge of best practices and care with multiple computer aided metrology systems such as laser trackers, articulated arms, scanners 6DoF (Six Degree of Freedom).
+ U nderstanding of basic coordinate systems and blueprint reading.
+ Experience in reverse engineering and model building from collected data.
+ Knowledge of Integrated Systems Air Frames and Aero Structures.
+ Experience with computer aided graphic interfaces (Verisurf X, SA and Maya matrix, BuildIT, and Polyworks).
+ Tool and Die or related experience.
**What we offer:**
At Northrop Grumman, we are on the cutting edge of innovation -- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer comprehensive benefits including competitive medical and dental options, a great 401K matching program, college education assistance, annual bonuses, 14 Employee Resource Groups inclusive of all employees, and opportunities for career advancement across North America
**Salary Range:** $42,000 - $70,000
**Salary Range 2:** $49,900 - $83,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Senior Principal Systems Engineer Architect - Software-focused2024-03-15T06:15:30-04:00https://northropgrumman.jobs/1B259E76706F43629ACD4F3B565E113D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems' Engineering & Sciences organization is looking for you to join our team as a **Software System Architect** supporting the Maritime & Land Sensors and Systems (MLSS) Division. **This position can be supported remotely ~50%. The candidate will need to be located in the Maryland/DC/Northern Virginia area, with access to College Park, Maryland.** We're looking for someone with leadership skills with the ability to rely on their software and/or architecture background to succeed in this role.
This is a software-focused Systems Architect role, with responsibility for assisting the government in sustaining a weapon system architecture. This new approach supports the lifecycle management of software development for the customer, which includes developing an evolving system architecture to best support programs to enable our warfighters in a timely fashion, leveraging digital transformation strategies. The software shall also ensure compatibility with existing combat systems.
**What You'll Get To Do:**
+ Performing key systems architecture elements to meet the program's requirements
+ Designing and developing system architectures
+ Defining key capabilities and performance requirements
+ May include performing system performance modeling
+ Support developing system interface definitions
+ Ensuring the logical and systematic conversion of customer or product requirements into total systems solutions that acknowledge technical, schedule, and cost constraints
+ Forward-thinking development of software programs to deploy capabilities more efficiently
+ Leading / overseeing technical work products developed by the larger engineering team
+ Interfacing and collaborating with government partners and other entities, in a collaborative environment
**Basic Qualifications:**
+ Bachelor's degree with 9 years of experience, A Master's degree with 7 years of experience or a PhD with 4 years of experience in Electrical Engineering, Computer Engineering, Computer Science, Applied Physics, Applied Mathematics, or related technical fields. 4 additional years may be considered in lieu of a degree.
+ U.S. Citizenship is required.
+ Active DoD Secret clearance required
+ Minimum 5 years of experience with Combat System or Real-time System
+ May include experience with weapon systems (offensive or defensive)
+ May include experience with cyber defense systems with active mitigations
+ Minimum 5 years of experience with Software Architecture
+ Minimum 5 years of experience with Software Testing
+ Minimum 2 years of experience with Systems Engineering
+ MBSE experience
+ Broad knowledge of current software development trends in Agile Software Development and applications to DEVSECOPS
**Preferred Qualifications:**
+ Leadership experience with development teams and agile teams
+ Software agile experience
+ High proficiency in technical problem solving
+ Experience working with government partners and/or U.S Navy
+ Experience with CAMEO Modelling
+ Experience as a Scrum Master
+ Experience with JIRA, Confluence, and Agile Management
+ Experience with Zephyr Test Management
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
This position is contingent upon transfer of DoD Secret Clearance
**Salary Range:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-AL-Huntsville) Software Department Manager - 9073-12024-03-15T06:15:30-04:00https://northropgrumman.jobs/F9289BC1E1934DF9ABFB27EE01CC1ABC26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems has an opening for a **Software Department Manager** to join our team of qualified, diverse individuals. This position will be located in **Huntsville, Alabama** and supporting the Strategic Deterrent Systems Division.
**What You'll Get To Do:**
The selected candidate will be responsible for a department within the Software Engineering organization and will report directly to the SDS Software Engineering Director. The selected candidate will set operating objectives and provide mentorship to Functional Home Room (FHR) Section Managers (SMs) based on Engineering goals, objectives, and company policy. Provide oversight and assistance to multiple programs within the Division portfolio (Sentinel/GBSD, ICBM Sustainment and Advanced Programs); to provide the people, skills, and processes for successful achievement of program goals.
As the Department Manager the selected candidate will be responsible for managing planning, risk, project performance, addressing schedule, technical quality, and addressing technical or operational problems when necessary. Will assist engineers and program staff to develop and maintain talent within the organization. Have frequent contact with equivalent level managers and programs to maintain and exceed health and performance for all programs. The selected candidate will be a systems thinker with a track record of working across the organization to influence positive change aligning to business strategies.
The successful candidate will lead a team of software engineers ranging across one or more of the following engineering sub-disciplines: software developers, software/data analysts, automated test developers, software cybersecurity, software configuration managers and network analysts.
**Key responsibilities will include:**
• Excellent communication, mentoring, interpersonal skills, and the ability to collaborate with senior management, peers, and employees.
• Provides ownership for the people, processes, and tools that support business areas and programs in achieving their missions.
• Accountability for recruiting, staffing and retaining talent to deliver on the objectives.
• Supporting proposal work, independent technical reviews, program standup and audits, and special assignments on an as needed basis.
• Owning and leading improvements across employee engagement, hiring, development, training, attraction, and retention of top talent.
• Mentor, develop, and train your section managers, and overall employee base.
• Reviews and analyzes released engineering change data and coordinates changes with engineering, quality, support, manufacturing, and engineering data control activities.
• Instill process excellence, communications, training, certifications and continuous improvement across the organization.
• Interpret, execute, and recommend modifications to organizational policies.
• Lead or Manage Special Projects.
• Act as an advisor to staff members to meet schedules or resolve technical or operational problems.
• Familiarity with Agile engineering and Jira
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. This role may offer a competitive relocation assistance package.
**You'll Bring These Qualifications:**
+ Bachelors degree and 8 years of relevant experience.
+ US Citizenship with ability to obtain and maintain DoD Secret clearance.
+ 3 years of formal management experience.
+ 3 years of experience developing software in an agile environment.
+ Ability to travel up to 25% of the time.
**These Qualifications Would be Nice to Have:**
+ Active DoD Secret or Active DoD Top Secret Clearance. With Special Program Access (SAP).
+ Master's Degree with 9 years of experience in STEM (Science, Technology, Engineering or Mathematics) preferably an Engineering degree.
+ Proven performance as an Engineering Leader in all phases of acquisition, design, integration, and execution. Candidate will require ability to rapidly assess and lend guidance to projects assigned to the organizational group as well as demonstrated mentoring and guidance to engineers.
+ Working knowledge and/or experience across engineering disciplines.
+ Proven experience in full stack and/or object-oriented software development.
+ Experience on ICBMs.
+ Experience creating or growing new sections or departments.
+ Experience managing a large software engineering team.
+ Familiarity with Agile engineering and Jira.
**Salary Range:** $172,500 - $258,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-AL-Huntsville) Sentinel Principal Engineer DevOps / Sr Principal Engineer DevOps - 6052-22024-03-15T06:15:29-04:00https://northropgrumman.jobs/2BA61075439249F293440D0A6F13D0A826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Are you interested in expanding your career through experience and exposure, all while supporting a mission that seeks to ensure the security of our nation and its allies? If so, then Northrop Grumman is the place for you. As a leading global security company, we provide innovative systems, products, and solutions to our customers worldwide. We are comprised of diverse professionals that bring different perspectives and ideas, understanding that the more experiences we bring to our work the more innovative we can be. As we continue to build our workforce, we look for people that exemplify our core values, leadership characteristics, and approach to innovation.
Northrop Grumman Space Systems is seeking **Sentinel** **Principal Engineer DevOps / Sr Principal Engineer DevOps** **- 6052-1.** This position is located: **Huntsville, AL** and will support of the Sentinel program.
The successful candidate for this position will design and implement DevSecOps software factories along with the supporting software infrastructure. The candidate will develop and maintain automation pipelines, baseline operating systems, and virtualized & containerized environments. The candidate will also be expected to interact with the other teams within the program, partner organizations as well as the customer.
**Position Benefits:**
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. This role may offer a competitive relocation assistance package.
**Job Qualifications:**
**You'll Bring These Qualifications:**
+ **Principal Engineer DevOps:** 05 Years with Bachelor's in relevant field of study, 03 Years with Masters, 00 Years with PhD or 04 additional years in lieu of a degree.
+ **Sr. Principal Engineer DevOps:** 09 Years with Bachelor's in relevant field of study, 07 Years with Masters, 04 Years with PhD or 04 additional years in lieu of a degree.
+ Active DoD Secret clearance with a reinvestigation date occurring in the last 6 years.
+ US Citizenship and ability to obtain Special Program Access (SAP)
+ Ability to automate software builds and releases.
+ Experience with Ansible Automation Platform, including Ansible Tower.
+ Experience with build tools (i.e., Maven, Ant, GNU make) and/or continuous integration environments (i.e., Jenkins, GitLab, Bamboo) and/or repositories (i.e. Nexus, JFROG)
+ Experience with Windows and Linux/Unix administration.
+ Experience with virtualization (i.e. VMWare)
+ IAT Level II certification (e.g. Security + CE) or ability to obtain within 6 months.
**These Qualifications Would be Nice to Have:**
+ Bachelor's degree in a STEM field of study.
+ Prior experience with Kubernetes implementation and Day 2 Operations.
+ Previous experience developing and implementing CI/CD Pipelines.
+ Proficiency with Linux and Linux based software development tools.
+ Experience with automation/configuration management using either Ansible, Chef or equivalent.
+ Experience with source code configuration management tools (i.e git)
+ Experience with HashiCorp Packer and Vault.
+ Experience with Nexus.
+ Experience with Terraform, vCenter, vSphere, or similar Virtual Provisioning.
+ Experience with build tools such as Gradle and Bezel.
+ Experience with Jira/Confluence in an Agile environment.
+ Familiarity with scripting languages (i.e. PERL, Python, Bash, YAML)
\#GBSDsoftware
**Salary Range:** $102,400 - $153,600
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Principal Engineer Electrical - 121892024-03-15T06:15:29-04:00https://northropgrumman.jobs/DCFC0DA6160E44EF8092755492EB4C1526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **Sentinel Principal Electrical Engineer** . This position is in **Roy, UT** and supports the **Sentinel** program.
This role may offer a competitive relocation assistance package.
**What You'll Get To Do:**
+ Design Electrical systems in accordance with scope of work.
+ Evaluate vendor documents to ensure specifications and data sheet requirements are met.
+ Peer review documentation such as but not limited to schematics, diagrams, equipment data sheets, hazard area classification drawings, and electrical analysis or studies developed by other engineers and designers.
+ Review application of codes and standards for compliance and correct interpretation of Electrical designs.
+ Participate in the delivery of Electrical facility design Technical Data Packages (TDP), Issue-for-Construction (IFC) packages, as-built TDPs, PDPs, interface models, and additional design artifacts.
+ Analyze trade studies to evaluate alternative design solutions to resolve technical issues.
+ Develop and present design change requests, coordinate with impacted internal and external stakeholders, to ensure configuration control of the technical baseline.
+ Identify risks and opportunities associated with or driven by design factors.
+ Develop and mature Electrical facility designs that meet Weapon System requirements, UFC, MIL-STD, and other applicable codes and regulations.
**Position Benefits**
+ As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Job Qualifications:**
**You'll Bring These Qualifications:**
+ Bachelor of Science degree in a STEM (Science, Technology, Engineering and Math) discipline and 5 years of experience; 3 years with Master's Degree; 0 Years with PhD.Must be a US Citizen with the ability to obtain and maintain a DoD Secret clearance and Special Program Access.
+ Experience or working knowledge of electrical and power systems design, controls systems and/or engine/generator subsystems or components.
+ Knowledge of industry codes and standards, including the National Electric Code (NEC), National Electrical Safety Code (NESC), National Fire Protection Association (NFPA), International Building Code (IBC) and Unified Facilities Criteria.
+ Working knowledge of Microsoft (MS) Word, Excel, Power Point, MS Project and Engineering Scheduling tools or applications.
+ Experience working with external customers
**These Qualifications Would be Nice to Have:**
+ Active DoD Secret clearance investigated within the last 6 years.
+ Experience with ETAP or SKM PTW32 for short circuit analysis, coordination studies, and arc flash studies.
+ Electrical design experience in CAD/Revit
+ Experience in engineering construction administration and field inspections of electrical design installation.
+ Experience with maintenance and reliability engineering
+ Experience using the following tools: ANSYS, MATLAB, or equivalents
+ Teamcenter/CPLM experience
+ Continuous Process Improvement experience
+ Experience with MS Suite (Word, Excel, PowerPoint, Outlook)
**Salary Range:** $92,600 - $139,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Apopka) Laser Technician 12024-03-15T06:15:28-04:00https://northropgrumman.jobs/5446B7B5FDBE428EBEE0671DE8A4AEA126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Performs a variety of duties in the electronic, mechanical, electromechanical, or optical areas as related to laser systems. Establishes test setups, conducts testing, and reports data in prescribed formats. Performs inspection, cleaning, bonding, and troubleshooting of laser optical components. Assembles, aligns, troubleshoots, adjusts, tests, and maintains laser components, sub-assemblies, systems, and associated support equipment. Performs electronic fault analysis and repair as related to laser sub-system, system, and drive electronics. Follows appropriate laser safety and laser cleanliness procedures/practices. Ability to produce work that meets quality requirements. The ability to follow manufacturing process plans, make continuous improvement suggestions, work with minimal supervision, show attention to detail, ability to multitask, self-starter, and ability to prioritize work is needed to be successful in this role.
**Basic Qualifications:**
+ HS Diploma or equivalent and a minimum of 2 years of relevant work experience
+ Mechanical, Electrical, or Optical Assembly Experience or Demonstrated Aptitude
+ US citizen or Resident
**Preferred Qualifications:**
+ Manufacturing Experience
+ Electrical Engineering Tech Coursework with a focus on analyzing electrical circuits.
+ Optics & Photonics Program Coursework
***Please note Training on the 1st Shift, the role will be a 1st, 2nd, or 3rd shift position. 10% 2nd shift differential or 15% 3rd shift differential***
MANUMS
**Salary Range:** $38,600 - $64,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Ogden) Sr Principal Logistics Management Analyst - 119992024-03-15T06:15:28-04:00https://northropgrumman.jobs/555973E8D3E948B3A519AB65E4B0FA9126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **Sr. Principal Logistics Management Analyst** to join the SDS Logistics team, at our Kitting and Distribution Center (KDC) located in Ogden, Utah.
This role may offer a competitive relocation assistance package.
**Candidates will be a good fit if they bring experience related to any of the following duties:**
+ Extensive experience and understanding of SAP Transactions correlating to Goods Movements (receipts & issues), Physical Inventory, Cycle Count, Logistics, Transportation, Dock Scheduling, Batch & Serial Number Management, Warehouse Structure, STOs, Put-away & Picking Processes and related Materials Management processes, Exception handling, wave management, batch, serial number handling and purchase orders.
+ Analytical ability to synthesize data and report/align on metrics
+ Must have a solution-driven work approach with a strong sense of ownership
+ Excellent analytical, writing, and presentation skills; strong critical self-review skills, solid business analysis with the ability to effectively facilitate group discussion and build consensus
+ Strong desire to solve complex business problems through understanding of business processes, ERP structure, and SAP capabilities
+ Ability to work independently, adapting as necessary in a change-oriented culture, elevating conflicting priorities for clarification as they arise
+ Highly organized and detail oriented; strong critical self-review skills
+ Effectively communicate difficult and complex concepts clearly and concisely both orally and in writing
**What You'll Get to Do:**
+ Serve as the SAP liaison to the SDS Logistics Department and be responsible for leading and/or executing the analysis, design, configuration, testing, implementation, and operational support of the business functions
+ Able to fully understand the business's concepts, practices, and procedures
+ Work with identified subject matter experts (SME's) to develop solid understanding of SAP processes and provide continuous training
+ Work with leadership and peers to architect cross-functional, integrated solutions across SDS Logistics to support business needs
+ Assist with writing functional specifications and provide functional inputs to logistics team
+ Analyze existing business processes, workflows, and systems to identify areas for improvement, efficiency gains, and provide recommendations for process enhancements and optimizations
+ Work with Logistics Management Analyst to identify trends, patterns, and insights that can drive business decision-making and improve operational efficiency
+ Build relationships with key members of our user community and become a trusted resource with those users
+ Translate business requirements into clear and concise functional specifications
+ Proactively identify areas for improvement within business processes and systems and suggest appropriate solutions to enhance productivity and efficiency
+ Support large initiatives such as implementation of new technologies and application of enhancement and support packs
+ Conduct meetings, workshops, and data analysis to identify and understand user needs
+ Support change management initiatives by providing assistance in the following areas: development of training materials, conducting user training, and providing ongoing support to end-users during the implementation phase of S/4 HANA
+ Maintain comprehensive documentation of business requirements, process flows, functional specifications, and other project-related artifacts
+ Ensure department process and work instructions are aligned with company policies and standards and appropriate audit controls are in place
+ Perform regular (weekly, monthly, quarterly, annual) reviews of IT controls to ensure audit compliance
+ Understand how the solutions impact business processes and organizational change management across the department
+ Facilitate business process reviews to gather expected business outcomes and functional requirements, document end-to-end processes and business requirements
+ Translate business requirements into functional specifications and create the configuration rationale to design and configure SAP reports that feed metrics
+ Design, test and deploy the technical components required for successful SAP solutions, especially RICEFs (Reports, Interfaces, Conversions, Extensions, Forms) design and testing;
+ Work with department managers and peers to unit test and deliver SAP Applications by defining and documenting reusable test scenarios, building unit test cases and creating data for unit testing as needed
+ Participate in prioritization of work requests to deliver on business initiatives; create reports for metrics, minor and major enhancements
+ Escalates complex issues for additional analysis and resolution as appropriate
+ Ensuring leadership involvement and ownership
**Position Benefits**
+ As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Basic Qualifications:**
+ Bachelor's degree plus 10+ years of relevant experience; or Master's degree plus 8+ years of relevant experience
+ Experience in a Logistics, Supply Chain, and/or Material Distribution/Warehouse setting
+ Solid background in SAP Material Requirements Planning (MRP), Production Orders, and/or Inventory functionality
+ Proficient in Microsoft Excel
+ U.S. citizenship with the ability to obtain and maintain a DoD Secret clearance
**Preferred Qualifications:**
+ Experience with S4 and/or professional certification
+ Self-motivated and takes initiative
+ Team player who is able to work across multiple functions
+ Experience with database analysis
+ Fluency in MS Office Tools
+ Active Secret Security Clearance
**Salary Range:** $86,300 - $129,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Graphic Artist OR Principal Graphic Artist (Palmdale OR Oklahoma City)2024-03-15T06:15:28-04:00https://northropgrumman.jobs/A4D0E20121E944E3BECCA3790661A5CC26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems is looking for a **Graphic Artist OR Principal Graphic Artist** to join the Product Support group. This position can be based in either **Palmdale, CA or Oklahoma City, OK** depending on the selected candidate's location.
*This position can be filled at the Level 02 or Level 03 job profile based on the candidate's qualifications*
**Job Duties:**
Conceives, designs, lays out, and coordinates editorial illustrations and creative artwork for publications such as magazines, brochures, handbooks, and posters, translating facts and features of subject material into graphic terms that best convey intended meaning. Develops interpretive themes that convey ideas and information. Creates graphic presentations that communicate mood, emphasis, insight, viewpoint, and similar visual impressions. Provides guidance on graphic technology. Works closely with internal company contacts to understand requirements and create cost efficient graphic designs through available mediums. May provide computer graphic services for web artwork. May also have responsibility or input on reproduction and printing processes through in-house resources or outside vendors.
**Basic Qualifications for Graphic Artist:**
+ Bachelor's Degree with 3 years of experience OR Master's Degree with 1 year of experience.
+ Skilled with Adobe Illustrator and Isodraw.
+ Experience working with and interpreting engineering drawings.
+ Experience working with military databases to retrieve/review engineering data/drawings.
+ Familiarization with military Technical Manuals.
+ M ust have the ability to obtain and maintain an active U.S. Department of Defense (DoD) Secret Clearance and be able to obtain and maintain Special Program Access.
**Basic Qualifications for Principal Graphic Artist:**
+ Bachelor's Degree with 6 years of experience OR Master's Degree with 4 years of experience.
+ Skilled with Adobe Illustrator and Isodraw
+ Experience working with and interpreting engineering drawings
+ Experience working with military databases to retrieve/review engineering data/drawings
+ Familiarization with military Technical Manuals
+ Must have an active U.S. Department of Defense (DoD) Secret security clearance and be able to obtain and maintain Special Program Access.
**Salary Range:** $53,700 - $98,400
**Salary Range 2:** $65,900 - $121,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-WV-Rocket Center) Manager Programs 1 - AHT2024-03-15T06:15:28-04:00https://northropgrumman.jobs/CECF7D07B79043BB9F2479954922193926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Description**
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Job Description**
**Northrop Grumman's Defense Systems** sector is currently seeking a **Program Manager Level 1** to support our growing business in our **Rocket Center, West Virginia** location.
Rocket Center, WV is located along the North Branch Potomac River in Mineral County, West Virginia and is home to the Allegany Ballistics Laboratory (ABL), a government installation operated by Northrop Grumman. Known for its scenic mountain landscapes, rolling hills and state parks, the region offers a multitude of outdoor recreational opportunities to include hiking, mountain biking, skiing, fishing, hunting and whitewater rafting. Where can you get to from Rocket Center? We are just a couple hours from Washington, D.C., Baltimore, and Pittsburgh and not far from Philadelphia, Virginia and Ohio. If you enjoy a beautiful all-weather climate, low cost of living, zero gridlock traffic, outdoor activities, and easy access to major cities along the east coast - this area has a lot to offer!
**Learn more about our site here:**
https://www.northropgrumman.com/careers/northrop-grumman-in-rocket-center-west-virginia
The primary objective will be the delivery of all contractual requirements on cost and on schedule, while achieving all technical requirements and creating customer intimacy to support value creation strategies and actions. The individual will lead a cross-functional organization aligned to common program performance goals. This position could support any program within the Tactical Propulsion Production Market Area.
Program Managers are responsible for leading all phases of the program life cycle from inception (proposal development) through startup, execution, and completion (contract closeout). Primary responsibilities are the management of cost, schedule, and technical performance of company programs or subsystems and include, but are not limited to:
+ Leading and directing cross-functional Integrated Program Teams (IPT) to meet program cost, schedule and technical performance objectives
+ Measuring and reporting program performance
+ Delivering presentations to customers, executive management and other program stakeholders
+ Participating in the negotiation of contracts, contract changes, specifications, operating budgets, schedule milestones, and key terms and conditions
+ Creation, review and finalization of the program Statement of Work
+ Identification, distribution, tracking, and completion of program requirements
+ Establishment and management of the program and subordinate baselines
+ Development and adherence to budget baselines utilizing Earned Value Management (EVM) or similar cost & schedule control methodologies and tools
+ Identifying, allocating and managing program resources, including workforce planning
+ Managing Government/customer supplied property or information
+ Managing suppliers to meet program objectives
+ Adherence to all internal processes, policies, and applicable industry standards
+ Ensuring program team understands and adheres to contract scope, and manages change through control board activities
+ Development and adherence to master plans and schedules
+ Conducting thorough risk & opportunity management practices including identification, mitigation and realization
+ Cultivating customer relationships to develop further opportunities within the customer community
+ Developing new business opportunities through long term strategic planning, capture planning, and development of proposals and business plans
**Desired Attributes:**
+ Excellent interpersonal skills with ability to manage a project team daily and communicate effectively with leadership regarding status, awareness's, and escalations
+ The ability to effectively plan, define, establish, communicate, monitor, and control scope to ensure that all of the work required and only the work required is performed.
+ The ability to initiate, develop, integrate, execute, direct and control program plans and cost and schedule baselines that meet both program contractual requirements and stakeholder expectations.
+ Ability to resolve disputes and negotiate with a positive outcome while maintaining good relationships with customers and within the organization
**Basic Qualifications:**
+ Bachelor's degree and 6 years (or Bachelor of Science in STEM and 5 years) of related experience as defined below, OR a Master's degree and 4 years (or Master of Science in STEM and 3 years) of related experience as defined below.
+ Experience as a project lead, integrated program team member, cost account manager, or demonstrated success leading teams/and or organizations to achieve a common goal
+ Basic competency in Project Management skills and toolsets
+ Competent in Microsoft Office Suite (i.e. PowerPoint, Excel, Word, Outlook)
+ Must be able to obtain a DoD security clearance. (US Citizenship is a requirement)
+ Strong communication and organizational skills
+ Able to assimilate information from multiple sources, create coherent plans and then execute those plans
+ Ability to travel (10% to 25%)
**Preferred Qualifications:**
+ A degree in a science, engineering, or a business program
+ Experience in the aerospace/defense industry or within the Department of Defense or NASA
+ PMP® certification
+ Experience with Lean, Six Sigma, Agile methodologies
+ Active Security Clearance
+ Direct work experience with Department of Defense Government and Major Prime Contractors (Northrop Grumman, Lockheed Martin, Raytheon, Boeing, etc.), preferably with a focus on manufacturing
+ Experience with manufacturing of DOD products
**Salary Range:** $109,300 - $163,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Charlottesville) Manager Programs 2 - Navigation Systems2024-03-15T06:15:27-04:00https://northropgrumman.jobs/B44FBA3CC83F4DD28019727B0B55290C26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Mission Systems' (NGMS)** Maritime Systems Integration and Ship Services business area are seeking a leader for the position of **Manager Programs 2** to join our team of qualified, diverse individuals. This leadership position will be located in **Charlottesville, VA.** The selected candidate will lead a multi-functional team assigned across multiple programs and will report to the Program Manager of Navigation Systems.
**What You'll Get to Do:**
Program Management duties include:
+ Directs all phases of programs from inception through completion.
+ Responsible for the cost, schedule and technical performance of company programs or subsystems of major programs.
+ Participates in the negotiation of contract and contract changes.
+ Coordinates the preparation of proposals, business plans, proposal work statements and specifications, operating budgets and financial terms/conditions of contract.
+ Acts as primary customer contact for program activities, leading program review sessions with customer to discuss cost, schedule, and technical performance.
+ Establishes design concepts, criteria and engineering efforts for product research, development, integration and test.
+ Develops new business or expands the product line with the customer.
+ Establishes milestones and monitors adherence to master plans and schedules, identifies program problems and obtains solutions, such as allocation of resources or changing contractual specifications.
+ Directs the work of employees assigned to the program from technical, manufacturing and administrative areas.
**Basic Qualifications:**
+ Bachelor's Degree and 10+ years OR a Master's Degree and 8+ years of experience supporting U.S. Government contracts and customers and/or project management in other industries.
+ Experience leading the performance of tasks on schedule, at cost and achieving all requirements as either project lead, integrated program team or cost account manager.
+ Demonstrated experience with leading complex programs and proposals.
+ Experience leading FFP (Firm Fixed Price), CPFF (Cost Plus Fixed Fee), and T&M (Time & Material) contract types.
+ Experience with Earned Value Management Systems (EVMS) and a demonstrated grasp of program management concepts.
+ US Citizenship
+ Must have a current active Secret Clearance or a Secret Clearance that has been active in last 2 years and be able to obtain a Secret Clearance prior to start date.
**Preferred Qualifications:**
+ Experience with design and development of shipboard systems.
+ Experience with naval or navigation systems & product development.
+ Experience with development, production, and repair/sustainment programs and contracts.
+ Experience in the management of Government Property / Material.
+ Experience applying Systems Engineering principles to program execution.
**Salary Range:** $131,200 - $196,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MA-Devens) Supply Chain Manager 12024-03-15T06:15:27-04:00https://northropgrumman.jobs/B7851CB4CA2F48F594953DB9EB56748726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Space Components** Business Unit is seeking a **Supply Chain Manager 1** to join our team. This position will be located in **Devens, MA.**
The Supply Chain Manager leads a team of procurement professionals in providing supply chain Operating Unit support within Mission Enabling Products Business Unit in the Payload Ground Space Systems Division within the Space Systems sector. Responsibilities include support of the business unit's performance, financial growth and achievement of operational objectives through ownership of the buy supply chain.
The Supply Chain Manager engages in the resolution of complex supply chain issues including supplier on time delivery, cost and performance support of operations and program execution to meet customer commitments.
This position requires experience in communicating and collaborating across different functions and levels as well as driving supply chain management processes.
The preferred candidate will have leadership experience, strong interpersonal skills, will be able to multi-task, will be a results oriented creative thinker and will possess a thorough understanding of supply chain best practices, purchasing, lean six sigma, and project management.
**Primary Responsibilities:**
+ Manage a team of procurement professionals
+ Responsible for total purchased part performance for the Operating Unit including on time delivery, cost reduction initiatives, and supplier sourcing and management
+ Responsible for the performance, development, and engagement of the buying team
+ Achieve KPI Target Goals including Supplier Performance Targets in Quality, Delivery and Cost
+ Utilize CI tools in response to business issues
+ Drive supply chain strategies to improve supply chain agility including significant lead time reduction, cost savings, and improvements in supplier delivery performance
Compliance
+ Apply Import/Export regulations such as ITAR, EAR, DDTC registration etc.
+ Apply security regulations concerning vendor visits or sharing information
+ Apply government contract requirements such as FAR, DFAR, ISO, NASA, CPSR, MMAS, DCAA, SOX, etc. to appropriate agreements
+ Support auditing processes such as DCAA, CPSR, internal, etc.
**Basic Qualifications:**
+ Bachelor's Degree with 8 years of experience in Global Supply Chain or Business Management or Master's Degree with 6 years of experience
+ 2+ years of experience leading a team of Supply Chain professionals; proven organizational and leadership skills
+ In depth understanding and experience with Supply Chain purchasing, policies, process, tools, regulations and protocols
+ Experience in driving to resolution complex supplier issues in collaboration with suppliers, engineering, quality/mission assurance and program office
+ Demonstrated capability and success in understanding metrics, data management and overall business analytics
+ Demonstrated ability to develop strong, effective working relationships with a wide range of stakeholders with success in leading and influencing peers and other support functions
+ Ability to assess risk and opportunity in making decisions
+ High level of proficiency in MS Office suite, procurement and ERP systems/tools
**Preferred Qualifications:**
+ Secret or Top Secret clearance
+ MBA and/or Supply Chain-related professional designation and a minimum of 5 years' experience in defense or aerospace systems
+ Experience in DoD government contracting and commercial business industry and/or procurement administration
+ Experience in leading Proposal efforts across Subcontractors and Materials vendors
+ Experience with a diverse portfolio of programs, customers, and suppliers within the Machining, Forging and Complex Tooling commodity
+ Working knowledge of NGC Global Supply Chain, Business Development, and/ or Manufacturing/Operations.
**Salary Range:** $104,600 - $156,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Sunnyvale) Principal Facilities Planner2024-03-15T06:15:27-04:00https://northropgrumman.jobs/BEE2BDAF300A40598182FB41BCC9325926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We are looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions.
By joining in our shared mission, we 'II support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you 'II have the resources, support, and team to do some of the best work of your career.
Start your future with a new mission, Northrop Grumman Mission Systems. Northrop Grumman Mission Systems, located in **Sunnyvale** , **CA** has an immediate need for a **Principal Facilities Planner.**
**Job Responsibilities include:**
Planning and coordinating all phases of facilities infrastructure, equipment and machinery maintenance, modifications, and building projects from establishment of design concepts through design and implementation. Acts as a primary contact for facilities, and equipment maintenance. Ensures projects and tasks are completed per standards. Maintains the Computerized Maintenance Management System (CMMS) by screening, issuing, and running reports. Initiates Purchase Requisitions and tracks Purchase Orders, submittals, and Change Notices through an Enterprise Resource Planning System (ERP). Identifies problems and develops solutions, such as allocation of resources or changing project scope. May coordinate the work of employees assigned to the project.
**Basic Qualifications:**
+ 6 Years with Bachelors; or 4 Years with Masters; or a High School Diploma with an additional 4 years of applicable work experience may be substituted for a Bachelor's degree.
+ Experience in Facilities Maintenance or Construction or relatable office experience
+ Experience in Microsoft Products (Word, Excel, PowerPoint, or Project, etc.)
+ Experience using CMMS and ERP systems (initiating PR's and Change notices)
+ Strong oral and written communication skills
**Preferred Qualifications:**
+ Strong knowledge of trades Electrical, Carpentry, Plumbing, or Mechanical
+ Strong Problem-Solving Skills
+ Experience in SAP software
+ Experience working with Facilities Managers, Engineers, and Project/Construction Managers
**Salary Range:** $88,700 - $133,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Falls Church) People Analytics - Insight Analyst/People Analytics - Principal Insight Analyst2024-03-15T06:15:27-04:00https://northropgrumman.jobs/C4298F3699B2455EA75887A67A235E4F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems is seeking a People Analytics - Insight Analyst/People Analytics - Principal Insight Analyst for our People Analytics team. The person hired into this role will sit in our Falls Church, VA location but we may also consider a hybrid or teleworker for this role.
People Analytics is a team of data scientists, behavioral research scientists, insight analysts, competitive intelligence analysts, and technologists who use science to drive insight and people strategy within the business. As a member of the People Analytics team supporting the Defense Sector, you will be responsible for guiding the organization with strategic analysis and providing meaning behind data.
As an analyst, you will be curious, detail-oriented, and ethically conscious. You seek to ask questions about people, the business, and how we can use data to find solutions. You are a strategic thinker that can translate data to create well-informed people decisions to enable better business outcomes. You want to drive positive change for our people, are candid and collaborative in taking on our most difficult analytics projects as well as the process and culture changes that accompanies them. You will collaborate across the sector and business to uncover data-driven insights to solve business challenges across the talent life-cycle and enable transformational change.
Responsibilities will include, but are not limited to:
+ Creating strategic analysis by forming a structured hypothesis, identifying patterns in data using quantitative methods, and deriving insights that drive decision making.
+ Driving projects from initial concept through deployment, leveraging opportunities for automation.
+ Synthesizing and communicating results for executive audiences by capturing meaningful insights and takeaways from data.
+ Working directly with a wide range of stakeholders, including executive leaders and HR partners, to understand organizational needs and business questions.
+ Translating these questions into an analytical framework to form testable hypotheses.
+ Partnering with analytics organizations to drive digital transformation strategy throughout sector functions and objectives.
Your work will involve:
+ Creating data visualizations using common visualization capabilities (R or Python libraries, Tableau, Cognos, PowerBI etc).
+ Using scripting languages like SQL and Python to integrate, wrangle, and manipulate large datasets.
+ Using lightweight markup languages like Quarto Markdown to craft insights and strategic analysis.
+ Leverage basic version control practices to publish your work internally.
Basic Qualifications for a People Analytics - Insight Analyst:
+ Bachelor's degree with 3+ years of related professional experience - OR - a Master's degree with 1+ years of related professional experience. Additional 4 years of experience can be used in lieu of degree.
+ Ability to extract and manipulate data from databases using SQL and write custom queries. Familiarity with common table expressions and building views.
+ Ability to apply quantitative and statistical approaches to answer questions using data.
+ Proficient using an object-oriented programming language (e.g., Python or R) to conduct analysis and create visualizations.
+ Proficient in data visualization using Tableau or similar visualization tool.
+ Experience in executive data storytelling, building compelling, concise, and insightful presentations to communicate developed insights.
+ Experience collaborating on projects with multiple teams, managing relationships, and communicating findings to audiences.
Preferred Qualifications for a People Analytics - Insight Analyst
+ Degree in a quantitative field (e.g., Math, Physics, Engineering, Statistics, Economics) is preferred.
+ Experience with people- or talent-related data.
+ Foundational understanding or exposure to more advanced analytics, such as machine learning.
+ Experience with data storage and retrieval techniques, ETL, and databases.
+ Experience with U/X design principles.
+ Experience interfacing with and presenting to executive audiences.
+ Ability to work with the upmost confidentiality when applicable.
+ Experience with Workday systems.
Basic Qualifications for a People Analytics - Principal Insight Analyst:
+ Bachelor's degree with 6+ years of related professional experience - OR - a Master's degree with 4+ years of related professional experience. Additional 4 years experience may be used in lieu of degree.
+ Ability to extract and manipulate data from databases using SQL and write custom queries. Familiarity with common table expressions and building views.
+ Ability to apply quantitative and statistical approaches to answer questions using data.
+ Proficient using an object-oriented programming language (e.g., Python or R) to conduct analysis and create visualizations.
+ Proficient in data visualization using Tableau or similar visualization tool.
+ Experience in executive data storytelling, building compelling, concise, and insightful presentations to communicate developed insights.
+ Experience collaborating on projects with multiple teams, managing relationships, and communicating findings to audiences.
Preferred Qualifications for People Analytics - Principal Insight Analyst:
+ Degree in a quantitative field (e.g., Math, Physics, Engineering, Statistics, Economics) is preferred.
+ Experience with people- or talent-related data.
+ Foundational understanding or exposure to more advanced analytics, such as machine learning.
+ Experience with data storage and retrieval techniques, ETL, and databases.
+ Experience with U/X design principles.
+ Experience interfacing with and presenting to executive audiences.
+ Ability to work with the upmost confidentiality when applicable.
+ Experience with Workday systems.
**Salary Range:** $72,100 - $118,900
**Salary Range 2:** $88,600 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Principal Manufacturing Systems Engineer/Senior Principal Manufacturing Systems Engineer2024-03-15T06:15:26-04:00https://northropgrumman.jobs/15719ED4F16742F895E7E1DDC59642DE26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Principal Manufacturing Systems Engineer (Level 3) or Senior Principal Manufacturing Systems Engineer (Level 4)** to join our team of qualified, diverse individuals. This position will be located in **Palmdale, CA.**
As a member of the Advanced Manufacturing Technology & Innovation development team, you will define, develop, implement, and transition new technology solutions to manufacturing to increase efficiency, reduce cost, and improve quality. Manufacturing concept and process solutions for production applications cover advanced technologies including but not limited to composites fabrication, assembly, automation, inspection, direct part manufacturing, LO technologies, as well as digital capabilities. The role requires incorporation of requirements into development plans and evaluation of performance of processes and tools to verify their efficiency. In addition, you will identify and initiate corrective action of problems and deficiencies to ensure R&D contractual obligations (technical, schedule, and cost) are met and product quality is maintained. In this role, you will provide guidance regarding design concepts, TRL/MRL maturity, and specification requirements to best utilize resources and manufacturing techniques, and ensure processes and procedures are in compliance with regulations. This role involves interfacing with internal and external customers, program offices, engineering disciplines, and executive management. In addition, the role will require the ability to handle multiple projects concurrently in a dynamic/fast-paced work environment. Budgeting and Project Management skills will be utilized to properly scope and execute assigned work and manage project efforts.
**This position may be hired at a higher level.**
**Basic Qualifications for Principal Manufacturing Systems Engineer (Level 3):**
+ Bachelor's Degree in a STEM (Science, Technology, Engineering, Math) discipline with 4 or more years' experience in engineering, aviation, systems engineering, aerospace, or manufacturing/production; OR Master's Degree with 3 or more years' experience OR PhD with 0 or more years' experience.
+ Experience working with IPTs, other stakeholders, and various customers such as Air Force and Navy
+ Project / Program Management skills (proposal creation, scheduling, budget tracking).
+ Strong Familiarity with Process Development & Controls.
+ Ability to travel 20-35% of the time.
+ Must have the ability to obtain and maintain DoD Secret clearance.
+ Must have the ability to obtain and maintain Special Program Access.
**Basic Qualifications for Senior Principal Manufacturing Systems Engineer (Level 4):**
+ Bachelor's Degree in a STEM (Science, Technology, Engineering, Math) discipline with 8 or more years' experience in engineering, aviation, systems engineering, aerospace, or manufacturing/production; OR Master's Degree with 6 or more years' experience OR PhD with 4 or more years' experience.
+ Experience working with IPTs, other stakeholders, and various customers such as Air Force and Navy
+ Project / Program Management skills (proposal creation, scheduling, budget tracking).
+ Strong Familiarity with Process Development & Controls.
+ Ability to travel 20-35% of the time.
+ Must have the ability to obtain and maintain DoD Secret clearance.
+ Must have the ability to obtain and maintain Special Program Access.
**Preferred Qualifications:**
+ A current and active DoD Secret level security clearance with a periodic re-investigation OR an original adjudication date completed within the last 5 years.
+ Current Special Program Access.
+ Demonstrated technical leadership skills, decisiveness, and ability to make sound technical judgments with limited information.
+ Well-versed, skilled, and disciplined in system engineering processes.
+ Experience with using Microsoft Office Products (Word, PowerPoint, Excel, MS-Project, Visio).
+ Experience in the development, integration, and deployment of network architecture and digital infrastructure.
+ Experience in generating requirements, specifically, the ability to turn vague customer needs or stakeholder inputs into requirements.
+ Proposal experience, and experience in other early phases of program lifecycle
+ Advanced Communication Systems Experience.
+ Prior experience operating in a dynamic environment of increasing production schedules and high delivery rates.
+ Siemens NX, TcE, CPLM, SAP, and Manufacturing Execution Systems.
+ CAM Experience.
+ Experience in hardware/software integration of manufacturing systems.
+ Experience with production assembly/fabrication including Digital Transformation, Augmented Reality/Virtual Reality, Systems Integration, IIoT, RFID, and/or production manufacturing operations.
+ Extensive experience working with Edge Devices (EUD) (HoloLens1, HoloLens2, Tablets).
+ Working knowledge of classified information systems infrastructure.
+ Digital Manufacturing experience.
+ Architecture, Design & Integration experience for engineering systems and software.
+ Technical Writing capability.
**Salary Range:** $107,300 - $160,900
**Salary Range 2:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Section Lead - Engineering Manager 32024-03-15T06:15:26-04:00https://northropgrumman.jobs/37A3AE8E93C64C418D06A711F255042B26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems sector is seeking a qualified candidate to join the Engineering & Sciences leadership team as a Section Leader supporting the diverse portfolios of the Maritime/Land Systems & Sensors (MLSS) and the Navigation, Targeting & Survivability (NT&S) Divisions.
The selected candidate will provide both engineering functional leadership and technical project execution leadership. Engineering sections consists of approximately 35 - 60 engineers organized into multiple teams. Each team is led by a Team Lead (front line manager). The Team Leads and senior individual contributors will report directly to the selected Section Lead. The individuals in this section work across several Baltimore, MD based programs primarily with in the MLSS & NT&S divisions.
Each section focuses on specific engineering disciplines and sub-disciplines. The successful candidate is not required to specialize in the engineering discipline of the section, but must be able to demonstrate the knowledge, experience, and ability to effectively lead and manage multi-disciplined teams and discipline specific engineering processes.
The selected candidate will also be expected to perform productive (direct charge) work on programs in addition to functional management duties. It is anticipated that given the size of this section, the split between functional and program duties will be approximately 30/70, on average.
This leader must be able to create a culture of well-being, inclusion and engagement that attracts, retains and enables a high performing workforce.
Interested Leaders with the following experiences and qualifications are encouraged to apply.
**Functional Roles & Responsibilities:**
+ Lead section staff based on goals, objectives, and company policies.
+ Provide engineering staffing to programs.
+ Ensure adherence to established engineering processes.
+ Manage workload forecasting, hire/develop new engineering talent.
+ Prepare, manage, and conduct performance reviews.
+ Manage department budget and support compensation planning.
+ Support proposal activities (lead, technical/management volume development, Basis of Estimate (BOE) development/defense).
+ Support and lead engineering organization efforts aimed towards skill and career development; continuous improvement; and growth.
**Program Roles & Responsibilities:**
+ Manage engineering support to programs.
+ Provide Engineering Integrated Product Team (IPT) leadership and perform/support risk development and management.
+ Provide Control/Cost Account Management (CAM) support leveraging EVMS and agile project execution methodologies.
+ Support writing technical specifications, Statements of Work (SOWs), design reviews (e.g. SRR, SDR, PDR, CDR, TRR TIMs, etc.) and capture/proposal activities.
+ Develop and maintain relationships with internal and external customers, homerooms and sectors.
+ Ensure training to staff on Engineering Tools required to support their programs.
**Basic Qualifications:**
+ Bachelor's degree with 14 years of experience, a Master's degree with 12 years of experience or a PhD with 9 years of experience in Science, Technology, Engineering or Mathematics or related technical fields.
+ U.S Citizenship is required.
+ An active DoD Secret Clearance with the ability to obtain/maintain Special Program Access (SAP)
+ 4 years of experience managing engineering practices and providing program leadership
+ Strong leadership qualities, including excellent communication and collaboration, team engagement, and organizational skills.
+ Experience successfully applying engineering process and procedures to complex development and production efforts.
+ Expertise in a relevant engineering domain or discipline (e.g. Digital Technology, Hardware Engineering, Integration & Test, Software Engineering, Systems Engineering, Radar, EW, Communications)
+ Demonstrated ability to lead diverse engineering teams and attract, recruit, and develop top talent.
+ High proficiency in technical problem solving, to include decomposition, solution development, implementation, root cause analysis, and monitoring.
**Preferred Qualifications:**
+ Advanced degrees in Science, Technology, Engineering or Mathematics or related technical fields.
+ Active DoD Top Secret Clearance or higher
+ Experience leading system development teams for Department of Defense programs.
+ Experience developing and presenting technical documentation and briefings to the customer and organization leadership.
+ Experience with new business development and proposal management, from capture planning to proposal delivery
This position is contingent upon the successful transfer of an active DoD Secret Clearance and the ability to obtain or maintain Special Program Access (SAP).
**Salary Range:** $168,100 - $252,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Staff Systems Engineer Architect - Software-focused2024-03-15T06:15:26-04:00https://northropgrumman.jobs/85E33D28E67E4EBC8E02398E938F48D826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems' Engineering & Sciences organization is looking for you to join our team as a **Software System Architect** supporting the Maritime & Land Sensors and Systems (MLSS) Division. **This position can be supported remotely ~50%. The candidate will need to be located in the Maryland/DC/Northern Virginia area, with access to College Park, Maryland.** We're looking for someone with leadership skills with the ability to rely on their software and/or architecture background to succeed in this role.
This is a software-focused Systems Architect role, with responsibility for assisting the government in sustaining a weapon system architecture. This new approach supports the lifecycle management of software development for the customer, which includes developing an evolving system architecture to best support programs to enable our warfighters in a timely fashion, leveraging digital transformation strategies. The software shall also ensure compatibility with existing combat systems.
**What You'll Get To Do:**
+ Performing key systems architecture elements to meet the program's requirements
+ Designing and developing system architectures
+ Defining key capabilities and performance requirements
+ May include performing system performance modeling
+ Support developing system interface definitions
+ Ensuring the logical and systematic conversion of customer or product requirements into total systems solutions that acknowledge technical, schedule, and cost constraints
+ Forward-thinking development of software programs to deploy capabilities more efficiently
+ Leading / overseeing technical work products developed by the larger engineering team
+ Interfacing and collaborating with government partners and other entities, in a collaborative environment
**Basic Qualifications:**
+ Bachelor's degree with 14 years of experience, A Master's degree with 12 years of experience or a PhD with 9 years of experience in Electrical Engineering, Computer Engineering, Computer Science, Applied Physics, Applied Mathematics, or related technical fields. 4 additional years may be considered in lieu of a degree.
+ U.S. Citizenship is required.
+ Active DoD Secret clearance required
+ Minimum 5 years of experience with Combat System or Real-time System
+ May include experience with weapon systems (offensive or defensive)
+ May include experience with cyber defense systems with active mitigations
+ Minimum 5 years of experience with Software Architecture
+ Minimum 5 years of experience with Software Testing
+ Minimum 2 years of experience with Systems Engineering
+ MBSE experience
+ Broad knowledge of current software development trends in Agile Software Development and applications to DEVSECOPS
**Preferred Qualifications:**
+ Leadership experience with development teams and agile teams
+ Software agile experience
+ High proficiency in technical problem solving
+ Experience working with government partners and/or U.S Navy
+ Experience with CAMEO Modelling
+ Experience as a Scrum Master
+ Experience with JIRA, Confluence, and Agile Management
+ Experience with Zephyr Test Management
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
This position is contingent upon transfer of DoD Secret Clearance
**Salary Range:** $157,500 - $236,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Staff Aerospace Vehicle Equipment Systems Engineer - FSS (9028)2024-03-15T06:15:26-04:00https://northropgrumman.jobs/B43A2C0D42C84DA8884CD14F36C6BFE026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman's Space Systems sector is seeking a **Staff Aerospace Vehicle Equipment Systems Engineer** to support the Aerospace Vehicle Equipment (AVE) Systems Engineering Group. This position is in our Strategic Deterrent Systems Division to support the **Sentinel Ground Based Strategic Deterrent (GBSD)** program in support of the United States Air Force. This position will be located on campus in **Roy, UT** .
**What You'll Get To Do:**
This position is required throughout the Engineering Manufacturing and Development (EMD) phase of the program which is expected to last at least through CY2028. The individual will play a key role in ensuring the AVE segment executes its' Critical Design Review (CDR) and eventually System Qualification Verification Review (SQVR). The role does not involve working for a specific system engineering domain (requirements, modeling/simulation, architecture/interfaces, design integration), but instead is expected to operate and resolve issues that span multiple areas.
The ideal candidate will be a strong communicator, able to operate without daily guidance, and have an array of knowledge across multiple aerospace and systems engineering areas. This position reports through the AVE Systems Engineering & Integration team.
Specific duties to include, but are not limited to the following:
+ Key role leading the preparation, execution, and closure of major program design reviews at both the component and system-level
+ Determine design review entrance/exit criteria definition, content review, readiness status, and action closure
+ Responsible for the detail planning and execution of the segment level CDR
+ Driving the execution and closure of specific cross-team and cross-program systems engineering issues. These issues may include elements relating to requirements compliance, standardization of processes, achieving technical program metric performance, mass allocations, environment definition.
**Position Benefits:**
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Basic Qualifications:**
+ Bachelor's degree in Science, Technology, Engineering or Math (STEM) with 14 years' experience; 12 years' experience with a Master's degree; or 9 years' experience with a PhD
+ Must be a US Citizen and have the ability to obtain and maintain Special Access Program (SAP) Clearance
+ Active DoD Secret Clearance with investigation within the last 6 years
+ Demonstration of a solid systems engineering foundational knowledge base
+ Experience preparing for and executing major design reviews (Preliminary, Critical)
**Preferred Qualifications:**
+ Knowledge of launch vehicle and/or strategic missiles
+ Experience translating a statement of work into executable tasking
+ Customer interface and negotiation skills
+ Experience working with subcontractors
+ Experience with DOORS, Cameo, Jira, Confluence, and or CPLM would be beneficial but may not be used on a daily basis
\#GBSDsystems
**Salary Range:** $139,000 - $208,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MN-Plymouth) Supply Chain Procurement Specialist2024-03-15T06:15:25-04:00https://northropgrumman.jobs/4FF2DBB71326404D980F27B07AEAF1BC26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman** is seeking a **Supply Chain Procurement Specialist** for our Business Unit, **Armament Systems** , location in **Plymouth, MN** .
**Armament Systems** specializes in the development and production of Ammunition, Guns and Precision Guidance Ordinance.
**Position Summary:** Sources and procures goods and services of a commodity nature from many suppliers. Initiates Requests for Quotations and Proposals and receives same, analyzing proposed pricing from potential suppliers. In conjunction with quality organization, evaluates and monitors supplier quality and reliability, as well as supplier ability to support required delivery schedules. Works with internal customers to ensure specifications are appropriate for the services or products required. Identifies opportunities to reduce cost, improve efficiency and manage risk through targeted negotiations. Issues purchase orders. Manages performance of purchase orders, ensures compliance with all public law requirements as well as company (and business) policies and procedures including import/export regulations. Employs sound business practices and assesses supplier performance as part of follow-up activities. Ensures that appropriate documentation is provided to logistics/transportation & warehouse organization so that proper receipt is recorded. Reviews and approves supplier payment as needed. Utilizes process tools such as Lean Six Sigma with suppliers to drive quality, efficiency and continuous improvement.
**Basic Qualifications:**
• This position emphasis skills and experience. Must have 7 years of experience. Will also consider a Bachelor degree with a minimum work experience of 3 years
• The successful candidate must have experience working with multiple contracting formats, including Firm Fixed Price and Cost Reimbursable, possess knowledge relating to special payment provisions (progress or performance based payments). Applicant must understand the proposal process, pricing instructions, terms and conditions and determine the adequacy of supplier proposals received.
• The successful candidate will possess strong leadership, communication and writing skills as well as identification of risk mitigation techniques and problem solving skills
• Must be a US citizen
• Eligible to obtain secret clearance.
**Preferred Qualifications:**
• Expertise in Word and Excel.
• Experience using Costpoint, Cognos and Sharepoint
• Knowledge of: U.S. Government contracting and the Federal Acquisition Regulation (FAR)/Defense Federal Acquisitions Regulation Supplement (DFARS), with specific working knowledge of Cost Accounting Standards (CAS); and Defense Contract Audit Agency (DCAA) audit procedures.
• ASCM or APICS Certification
**Salary Range:** $62,600 - $93,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Program Manager 32024-03-15T06:15:25-04:00https://northropgrumman.jobs/A246BD91A6B44D26BD5EF9FF4573C03F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems has an opening for a Program Manager 3 to join our team of qualified, diverse individuals within our Program Management organization. This leadership role is located in Palmdale, CA.**
The Program Manager 3 will be responsible for all aspects of product, build and program execution on this restricted program including having responsibility for the cost, schedule and technical performance, which will include the following responsibilities:
**Key Responsibilities:**
+ Responsibilities may also include contract negotiations, change management, preparing proposals, business plans, proposal work statements, specifications, operating budgets and financial terms/conditions for this contract.
+ You will act as the primary internal contact for program activities, leading program review sessions with the customer to discuss cost, schedule, and technical performance.
+ You will also interface with the program customer on a regular basis. Establish milestones and monitors adherence to master plans and schedules, identifies program problems and obtains solutions, such as allocation of resources or changing contractual specifications.
+ You will direct the work of employees assigned to the program in the areas of technical, manufacturing, and administrative.
We offer flexible work arrangements, a 9/80 work schedule with every other Friday off (1st shift), phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement, and respond vigorously to change.
**Basic Qualifications:**
+ Must have a Bachelor's degree AND 8 years of Production Test, System Test, manufacturing, aerospace/military or other related test professional /military experience in aerospace OR a Master's degree AND 6 years of Production Test, System Test, manufacturing, aerospace/military or other related test professional /military experience in aerospace.
+ Must have 3 years of prior management/leadership experience.
+ Must have an active DoD Secret security clearance (US Citizenship required) with the ability to obtain Special Program Access (SAP) prior to starting.
**Preferred Qualifications:**
+ 5 or more years of leadership experience in a team environment - Active Special Program Access (SAP)
+ Program management experience in a defense or production industry - Experience in Operations, Manufacturing Engineering, Industrial Engineering, Global Supply Chain, or Project Management
**Salary Range:** $180,600 - $271,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Redondo Beach) Level2/Level 3 Principal Proposal Analyst2024-03-15T06:15:24-04:00https://northropgrumman.jobs/E7434D1FBE264C269443E55A4417171126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
This requisition may be filled at a higher grade based on qualifications listed below.
Northrop Grumman has an opening for either a **level 2 or level 3 Proposal Analyst** to join our team of qualified, diverse individuals! This position will be located **on site** in **Redondo Beach, California** with **partial telework available** .
Essential Functions:
+ Perform **price and cost analysis** on the various elements of supplier cost proposals
+ Analyze data such as direct labor hours, past performance, costs of previous procurements, and/or comparison to similar items/scope
+ Participate in fact-finding sessions via telecom or at suppliers site
+ Submit written supplier cost analysis reports in support of Customer proposal and engineering change efforts
+ Prepare Procurement Review Board (PRB) briefings showing supplier proposed vs. negotiation objective positions by major element of cost for supplier negotiations
+ Respond to requests for information in support of internal and external customers/auditors
+ Research and analyze historical data and develop cost models to support proposal efforts
+ Participate in should-cost and pricing reviews with management and customer representatives
+ Provide cost analysis in support of program objectives
+ Consolidate Bill of Material requirements in support of price/cost analysis
+ Analyzes specifications, including sketches, blueprints, bills of material, or sample layouts, and calculates production costs using labor and material pricing schedules and historical data
This requisition may be filled as either a Proposal Analyst or a Principal Proposal Analyst.
Basic Qualifications for a Proposal Analyst:
+ Bachelor's Degree with 3 years experience OR a Master's Degree with 1 year of related experience
+ Proficient in Microsoft Office, specifically Excel
+ Able to obtain/maintain a Security Clearance
Basic Qualifications for a Principal Proposal Analyst:
+ Bachelor's Degree with 6 years of experience OR a Master's Degree with 4 year of related experience
+ Proficient in Microsoft Office, specifically Excel
+ Able to obtain/maintain a Security Clearance
Preferred qualifications:
+ Bachelor's Degree in Finance, Accounting, Economics, Business or Supply chain
+ Aerospace/Defense industry experience
+ Experience with FAR and DFARs
+ Knowledge with Cost Accounting
+ Supply Chain experience
+ Knowledge of SAP/ERP
We offer phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly collaborative workplace. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do. Does this sound like you?
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself! Every ERG is inclusive of all employees!
At Northrop Grumman, we are innovating-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule, and a great 401K matching program.
**Salary Range:** $72,100 - $108,100
**Salary Range 2:** $88,700 - $133,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Senior Principal Manufacturing Systems Project Engineer - PCB Conformal Coating2024-03-15T06:15:24-04:00https://northropgrumman.jobs/F3DD0E15E0CE484EAAD7CE440C5B234926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman's Manufacturing Operations Engineering organization is seeking a Senior Principal Manufacturing Systems Project Engineer for Final Assembly.** This position is located in Linthicum, Maryland.
The selected candidate will be a member of the **Final Assembly** team and will lead process development projects for multiple programs across several manufacturing cells, including conformal/parylene coating.
**Responsibilities include:**
+ Develop project scope requirements, perform technical planning, manufacturing system development, integration, verification and validation.
+ Facilitate collaboration across Manufacturing Operations functional support groups through all manufacturing readiness phases.
+ Manage project cost (implementation, ROI), schedule and risk mitigation strategies.
+ Drive root cause corrective action (RCCA) efforts.
+ Lead shop floor product / process troubleshooting across cells.
**Basic Qualifications for a Senior Principal Manufacturing Systems Project Engineer:**
+ Bachelor of Science degree or higher in Engineering or related STEM area and 9 years of relevant professional experience (or 7 years with an MS; 4 years with a PhD)
+ Experience with manual and automated assembly processes
+ Experience with conformal coating
+ Project management experience
+ Proficiency in 3-CAD modeling
+ Proficiency in Microsoft Office (Word, PowerPoint, Excel, MS-Project)
+ Familiarity with J-STD and MIL-STD requirements
+ Ability to travel 10% of the time
**Preferred Qualifications:**
+ Experience in process development and support of Parylene conformal coating
+ Experience with a variety of masking techniques and materials
+ Siemens NX, Teamcenter, CPLM, SAP
+ Knowledge of Geometric Dimensioning and Tolerancing (GD&T)
+ Experience with a Manufacturing Execution System (MES)
+ Familiarity with Design of Experiment (DOE), Statistical Process Control (SPC)
**Salary Range:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Suffolk) Principal Military Trainer / Sr. Principal Military Trainer - Operational Environment (OE)2024-03-15T06:15:23-04:00https://northropgrumman.jobs/068E0DA969B1405DA492A9C6CF207A0F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems sector (NGDS) is seeking a **Principal Military Trainer / Senior Principal Military Trainer - Operations Environment (OE)** to join our growing team of diverse professionals in Suffolk, Virginia. The OE Military Trainer will support the Joint Staff Support Team in delivering collective joint training (CJT) to enhance the operational effectiveness of the current and future joint force. The Military Trainer will perform duties throughout the Joint Exercise Life Cycle (JELC) in coordination with supported military customers and CJT leads.
**Responsibilities:**
+ Serves as a functional expert for the planning, conceptualization, and preparation of joint and combined military exercises and mission rehearsals
+ Provides consulting expertise to military or defense clients in the development and evaluation of military training and joint doctrine
+ Designs and plans military exercises in collaboration with training audience representatives, Desk Officers, and other event planners
+ Delivers exercise products such as Scenario, Road-to-Crisis (RTC), Adversary Campaign Plan, Operational Environment (OE) Overview, Master Scenario Event List (MSEL) and other deliverables as each exercise evolves incrementally over the JELC
+ Coordinates and adjusts suspense dates based on the need and ability to develop products
+ Participates in Global Integration initiatives and attends exercise planning conferences, In-Progress Reviews, and coordination meetings as required
+ Serves as functional cell member during execution of joint training events around the world
**This position may be filled at either the Principal or Senior Principal level per the requirements below.**
**Basic Qualifications for Principal Military Trainer - Operational Environment (OE):**
+ One of the following:
+ Bachelor's degree with a minimum of 6 years of joint operational experience
+ Master's degree with a minimum of 4 years of joint operational experience
+ A minimum of 3 years of experience on a 3-star or 4-star Joint or Service Headquarters staff or civilian equivalent
+ Must be highly knowledgeable about deploying and employing joint forces in concert with the other instruments of national power
+ Thoroughly understand how echelons at the theater strategic and operational levels plan and execute joint operations
+ Be familiar with the Joint Exercise Life Cycle (JELC), Universal Joint Task List (UJTL) and Master Scenario Event List (MSEL) processes
+ Thorough understanding of, and experience in, planning and executing IAMD at the operational level
+ Intermediate Service School graduate
+ Must be willing and able to travel both CONUS and OCONUS as needed (up to 25% of the time)
+ Must possess an active U.S. DoD Secret security clearance with ability to obtain a TS/SCI clearance
+ Must possess or be able to obtain a valid U.S. Passport
+ Must be able to work on-site in Suffolk, Virginia
**Basic Qualifications for Senior Principal Military Trainer - Operational Environment (OE):**
+ One of the following:
+ Bachelor's degree with a minimum of 10 years of joint operational experience
+ Master's degree with a minimum of 8 years of joint operational experience
+ A minimum of 4 years of experience on a 3-star or 4-star Joint or Service Headquarters staff or civilian equivalent
+ Must be highly knowledgeable about deploying and employing joint forces in concert with the other instruments of national power
+ Thoroughly understand how echelons at the theater strategic and operational levels plan and execute joint operations
+ Be familiar with the Joint Exercise Life Cycle (JELC), Universal Joint Task List (UJTL) and Master Scenario Event List (MSEL) processes
+ Thorough understanding of, and experience in, planning and executing IAMD at the higher operational or strategic level
+ Senior Service School graduate
+ Must be willing and able to travel both CONUS and OCONUS as needed (up to 25% of the time)
+ Must possess an active U.S. DoD Secret security clearance with ability to obtain a TS/SCI clearance once
+ Must possess or be able to obtain a valid U.S. Passport
+ Must be able to work on-site in Suffolk, Virginia
**Preferred Qualifications:**
+ Active U.S. DoD Top Secret/SCI security clearance
+ Joint Forces Staff College or PMEII graduate
+ Senior Service School graduate
+ Joint Staff or OSD experience; Operational experience working with General Officer (GO)/Flag Officer (FO)/Senior Executive Service (SES) leaders
+ Command tour at 0-5 or 0-6 level
+ Joint training or exercise experience
+ Experience with operational planning efforts at the operational and strategic levels
+ Experience with Adversarial Assessments (Red Teaming)
**Salary Range:** $73,300 - $109,900
**Salary Range 2:** $90,900 - $136,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Elkton) PC Network Support Tech 32024-03-15T06:15:23-04:00https://northropgrumman.jobs/291E72BD5A63489B80814BE3DC32507826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Northrop Grumman Enterprise Services organization is seeking an experienced PC Network Support Technician at its Elkton, MD. location. The selected candidate will work with the ITS Network and EDN teams to identify and pursue possible connectivity to Enterprise Distributed Network (EDN), in a Manufacturing environment. He/she will work with EDN resources to find ways to automate as much of the patching and virus updates as possible.
Additional Duties May Include:
• Creation and management of ISSP's and related documentation for unclassified off-net computers in Archer GRC
• Work with all involved organizations to create and execute POAMs (Plan Of Actions and Milestones) and document in Archer GRC.
• Audio/Visual enabled Conference Room support
• Conduct a continuous monitoring program for all off network (enclaved) computers
• Coordinate with local operations supervisors to schedule patching, virus updates and other hardware and software support for all unclassified off-net and advanced manufacturing computers around shop floor production schedule to eliminate/minimize production downtime and ensure no lost product due to unexpected/unplanned IT activities
• Perform monthly patching, virus updates and other hardware and software support per DFARS and CMMC controls and requirements.
• Provide off-hour on-call support and after-hours and/or weekend work based on shop floor production schedule when required
• Perform advanced troubleshooting, hardware, software and systems installs for all shop floor computers
• Perform advanced off-net and shop floor systems support for server and system administration
• Perform network technician/hands-on support to ITS Network and EDN teams to support connectivity to EDN and Network trouble-shooting (check ports, patch-thru's, basic port-config
• Work with local Facilities Engineering/Operations/Manufacturing and Process Engineering to help create configurations and BOMs for new hardware and software that needs purchased as part of a shop floor equipment purchase and/or capital/facilities request
• Work with InfoSec and local business leadership to support audits and audit findings on off-net equipment
• Work with InfoSec to ensure compliance with DFARS and CMMC requirements and controls
• Work with equipment vendors to update hardware, software and connectivity to the equipment
• Work with vendors to troubleshoot problems
• Ensure system, configurations, data etc are backed up and secure for DBR purposes
**Basic Qualifications:**
• High School diploma or equivalent and a minimum of 2 years technical support experience
• Customer relationship skills - must have a passion for customer support
• Must be able to lift and carry a minimum of 50 lbs.
• Must be able to spend an entire work day on their feet (walking, standing, crawling under desks/equipment)
• US CItizenship is required and candidates must be able to obtain / maintain DoD classified clearance following hire.
**Preferred Qualifications:**
• Strong troubleshooting and problem-solving abilities
• Understanding of NIST 800-171 and CMMC compliance and controls
• Implementation and management of controls for compliance to NIST 800-171 and CMMC
• Prior experience supporting Operations in a Manufacturing environment
• Strong verbal and written communication skills
• Ability to complete multiple simultaneous projects in a timely manner
• Self-starter/ Quick learner / self-directed
• Work with minimal supervision in a fast paced environment
• Active Current DoD clearance
**Salary Range:** $51,500 - $91,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Fort Meade) Staff Cyber Software Engineer (SB)2024-03-15T06:15:23-04:00https://northropgrumman.jobs/521BC19C59F24965BAE85F11B2D1005026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators, who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we will support yours of expanding your personal network and developing skills, whether you are new to the field or an industry thought-leader. At Northrop Grumman, you will have the resources, support, and team to do some of the best work of your career.
The Sensing & Secured Solutions Teams are hiring! We are seeking an experienced Sr. Java Developer to work as a member of an Agile team developing a high-visibility, mission critical component of a large system. The new team member will be working with DevOps, containerization, deployment to and operation on Linux, API development, requirements development, and model-based systems engineering.
The Sr. Software Engineer develops, maintains, and enhances complex and diverse software systems (e.g., processing-intensive analytics, novel algorithm development, manipulation of extremely large data sets, real-time systems, and business management information systems) based upon documented requirements. Works individually or as part of a team. Reviews and tests software components for adherence to the design requirements and documents test results. Resolves software problem reports. Utilizes software development and software design methodologies appropriate to the development environment. Provides specific input to the software components of system design to include hardware/software trade offs, software reuse, use of Commercial Off-the-shelf (COTS)/Government Off-the-shelf (GOTS) in place of new development, and requirements analysis and synthesis from system level to individual software components.
Basic Qualifications:
- A current and active TS/SCI Clearance with Polygraph is required.
- 20 years of experience with a BS degree, 18 with a MS degree, 15 with a PHD as a Software Engineer in programs and contracts of similar scope, type, and complexity in Computer Science or related discipline from an accredited college or university. :
- Analyze user requirements to derive software design and performance requirements
- Design and code new software or modify existing software to add new features
- Debug existing software and correct defects
- Integrate existing software into new or modified systems or operating environments
- Develop simple data queries for existing or proposed databases or data repositories
- Provide recommendations for improving documentation and software development process standards
- Develop or implement algorithms to meet or exceed system performance and functional standards
- Assist with developing and executing test procedures for software components
- Write or review software and system documentation
- Develop software solutions by analyzing system performance standards, confer with users or system engineers; analyze systems flow, data usage and work processes; and investigate problem areas
- Serve as team lead at the level appropriate to the software development process being used on any particular project
- Modify existing software to correct errors, to adapt to new hardware, or to improve its performance
- Design, develop and modify software systems, using scientific analysis and mathematical formulas to predict and measure outcome and consequences of design
- Design or implement complex database or data repository interfaces/queries
- Oversee one or more software development teams and ensure the work is completed in accordance with the constraints of the software development process being used on any particular project
- Design or implement complex algorithms requiring adherence to strict timing, system resource, or interface constraints; Perform quality control on team products
- Confer with system engineers and hardware engineers to derive software requirements and to obtain infom1ation on project limitations and capabilities, performance requirements and interfaces
- Coordinate software system installation and monitor equipment functioning to ensure operational specifications are met
- Implement recommendations for improving documentation and software development process standards
- Serve as the technical lead of multiple software development teams
- Select the software development process in coordination with the customer and system engineering formulas
- Recommend new technologies and processes for complex software projects
- Ensure quality control of all developed and modified software
- Delegate programming and testing responsibilities to one or more teams and monitor their performance
**Salary Range:** $181,400 - $272,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Redondo Beach) Intelligence Analyst (Principal or Senior Principal Level; Active Secret Clearance Required)2024-03-15T06:15:23-04:00https://northropgrumman.jobs/67A6E534BC414B6E9FA2E6D6E57C258726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for an Intelligence Analyst to join our team of qualified, diverse individuals within our Systems Engineering organization. This role is located in Redondo Beach, CA.
This role is a part of our Systems Analysis and Simulation (SA&S) team. SA&S directly supports our Research and Advanced Design organization to evaluate the performance of existing and candidate system designs in representative operational environments. Our work influences the investment decisions of our senior leadership and customer program offices.
In this role you will collaborate with individuals across a wide variety of disciplines including operations analysis, modeling and simulation, systems engineering, aerospace engineering. You would have the unique opportunity to obtain a broad understanding of many areas or to more narrowly focus on developing a deep expertise in any one of the relevant fields. This role would also give you will also be responsible for developing new and/or integrate existing system simulation frameworks, performance models and algorithms, threat models and command and control models.
.
**Essential Functions:**
+ Conducting independent research on select technical intelligence topics focusing on adversary threats and analyzing their projected impact to US military weapons systems and operations.
+ Providing the results of this research and analysis in the form of briefings and written studies.
+ Providing analytical and research support to threat modeling, scenario development, conceptual design studies, and model-based systems engineering (MBSE) processes.
+ Providing analytical assistance to engineering teams by leveraging knowledge of parametric data, threat system performance, concepts of operations (CONOPS), and adversary tactics, techniques, and procedures.
+ Supporting Modeling and Simulation engineers with CONOPS, operator requirements, visualization concepts, and technical data derived from intelligence sources.
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Educational assistance is available to employees who want to continue to learn and develop skills/knowledge. Our educational assistance program supports employee development by providing resources such as:
+ Free Education Advice: Call 855-222-2398 to speak with an experienced advisor to tailor an educational program to your career goals and needs.
+ Tuition Savings at Accredited Schools: Access a network of accredited schools in a variety of locations (and online) to take advantage of reduced tuition costs and waived fees.
+ Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees!
This position can be filled at either the Principal or Senior Principal level based on the qualifications below.
**Basic Qualifications:**
At a Principal Level:
+ Bachelor's of Science Degree in a STEM (Science, Technology, Engineering or Mathematics) discipline and 5 years of related professional / military experience in Systems Engineering OR a Master's Degree and 3 years of related professional /military experience in Systems Engineering OR a PhD and related academic / professional /military experience in Systems Engineering
At a Senior Principal Level:
+ Bachelor's of Science Degree in a STEM (Science, Technology, Engineering or Mathematics) discipline and 9 years of related professional / military experience in Systems Engineering OR a Master's Degree and 7 years of related professional /military experience in Systems Engineering OR a PhD and 4 years of related professional /military experience in Systems Engineering
At Either Level:
+ Must have at least a basic understanding of adversary threat system capabilities, behaviors, and tactics (Air, Space, maritime and Cyber domains)
+ Must have an active DoD Secret or higher clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation).
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance prior to the commencement of employment.
**Preferred Qualifications**
+ Understanding of adversary Integrated Air Defense System (IADS) components, structure, and employment, including electronic warfare systems
+ Extensive experience working in the intelligence community and have working knowledge of United States Government classified networks.
+ Detailed knowledge of government classified information handling procedures.
+ Applicant must be able to work in a team environment with engineering, Ops Analysis, Modeling/Simulation professionals, security personnel and other computer/facility support organizations sharing a secure facility.
+ Operational military background in any of the following:
+ • Fighter or Bomber Pilot
+ • Electronic Warfare Officer/Weapons Systems Officer
+ • Air Battle Manager
+ • Intelligence Officer
+ • Joint Duty Officer
+ Specific experience with all-source research and analysis of complex adversary weapon systems, technologies, and/or military operations and architectures.
+ Working knowledge in various aspects of advanced air and space assets (air and space vehicles, ground stations, operations, sensor and weapons payloads, and/or communications) and associated technologies
+ Understanding of remote sensing, infrared, and low observable technologies, surface-to-air and air-to-air missiles, hypersonic weapons, or related weapons systems/technologies.
+ Knowledge of domain specific radar principles, signal processing, target acquisition, target tracking, resource management, discrimination, and battle manager interfaces.
+ Experience with signal intelligence (SIGINT) collection and/or analysis.
+ Familiarity with USAF modeling and simulation tools (AFSIM, Suppressor, ESAMS, BRAWLER, etc)
+ Excellent writing skills, briefing skills, and analytical mindset
+ Ability to work both independent and in a team in a fast-paced environment, and brief technical approach and status to senior management
+ Experience with Agile and Model Based Engineering principles.
+ A current active in-scope U.S. Government DoD Top Secret security clearance with current or previous Special Program Access (SAP)/SCI.
**Salary Range:** $97,500 - $146,300
**Salary Range 2:** $120,900 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Schriever AFB) Spacecraft Operations Technician 12024-03-15T06:15:23-04:00https://northropgrumman.jobs/95B80CE1AB17449D9B2A01E16C6A2FEC26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way.
Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
The SBIRS Hardware Maintainer (HW) will provide shift-based 24x7 support of the network, data communications, RF antenna, and hardware infrastructure within the SBIRS Enterprise. The HW technician is responsible for monitoring, analyzing, troubleshooting, restoring operations, coordinating maintenance, and tracking problem reports for all SBIRS system-level outages. The HW technician may be required to perform additional duties as requested by program leadership. This position will report programmatically to the Hardware Maintenance Engineering Team Lead.
**Basic Qualifications** :
+ High School diploma or equivalent and 0 years additional education and/or related experience.
+ Must possess an active TS/SCI clearance to be considered.
+ Ability to lift forty pounds, carry and transport heavy equipment and boxes, and work in high heat/cold environments. Ability to operate hand and portable power tools and work may involve climbing on ladders.
+ Meets commitments, works independently, accepts accountability, handles change, sets personal standards, stays focused under pressure, and is flexible to work day and night shifts to cover for scheduled and unscheduled absences.
+ Communicates well both verbally and in writing, creates accurate and punctual reports, delivers presentations, shares information and ideas with others, and has good listening skills.
+ Ability to travel to Buckley Space Force Base in Aurora and Boulder to support mission operations.
**Preferred Qualifications:**
+ Thorough understanding of technical principles, theories, and concepts, pertaining to the network, data communications, RF antenna, and hardware infrastructure within the SBIRS system.
+ Adept with network/system monitoring tools (Network Node Manager, What's up gold, Remedy)
+ Knowledge of network routing protocols such as OSPF and BGP.
+ Experience with fault isolating and troubleshooting networks.
+ Understanding of firewalls and firewall appliances from Cisco and Juniper
+ Experience working with network hardware such as multiplexers, routers, and switches
+ Proficient with network test equipment: Fluke, BERT, cable analyzers and sniffers.
**Salary Range:** $44,200 - $73,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-GA-Warner Robins) Material Requirements Planning Analyst 22024-03-15T06:15:22-04:00https://northropgrumman.jobs/235D20D0D48843D6B6792FF333431C4326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Are you ready to put your experience to work at Northrop Grumman? If so, our Material Operations Team is looking for a seasoned, motivated mid-level material analyst who will be instrumental in defining material requirements, analyzing program data to prepare material spend plans, and work collectively with other functional areas for the success of the programs. You will be in a demanding role with high visibility and will be expected to analyze data and prepare presentation for senior management on site. The individual will analyze manufacturing, enterprise resource planning, and other material requirements based on needs of assembly demands, component replenishments, substitute parts or materials, production repair set ups, engineering changes and enterprise supplies.
**Responsibilities Include:**
+ Develop and analyze material requirements from engineering specification and production schedules.
+ Analyze system data to prepare material requirements to include demand, spend plans, and monthly/quarterly financial material forecasts.
+ Identify material shortage requirements and develop solutions to complex problems.
+ Resolve schedule, material requirement or business system problems with cross-functional representatives as well as master schedules and production problems and negotiates lead times.
+ Approve part or material requisitions and initiates production and purchase change orders. Responsible to ensure availability of all materials required to support completion of program repairs.
+ Ensure timely and accurate material data collection to enable accurate material forecasting to support on-going repair activity.
**Basic Qualifications:**
+ Experience should be in a production, repair, and/or depot environment; Must have a High School diploma/GED and 7 yrs. of direct relevant experience in supply chain, material management or supplier management. A bachelor's degree and 3 years of experience in supply chain, material management or supplier management may also be considered; a Masters degree and 1 year of experience in supply chain, material management or supplier management may also be considered
+ Proficiency in MS Office Excel.
+ Must be proficient in collecting and analyzing data to create complex material requirements reports, material spend reports, and program material health charts.
+ Strong written and verbal communication skills
+ Must have or be able to obtain a U.S. Department of Defense (DOD) secret security clearance.
+ Must be able to work on-site in Warner Robins, Georgia.
**Preferred Qualifications:**
+ Active Secret Clearance
+ Bachelor's Degree in related field
+ 3+ years of experience material analysis and/or planning in a depot or production environment.
+ MRO/PDM database and/or Electronic System SAP material transaction experience preferred.
+ Experience with material planning in an MRP situation.
+ Proficiency in Microsoft Office Access
NGskills
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) Dimension Control Technician 3/42024-03-15T06:15:22-04:00https://northropgrumman.jobs/3703020F9C6D4095BA03698D0E12D27F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems Business Unit (ASBU) has openings for a **Dimension Control Technician 3/4** to join our team of qualified, diverse individuals. This position will be located in **Clearfield, UT.** We are a world-class manufacturer of composite structures, radomes, and antenna systems for military applications. We design and manufacturer solutions for our war fighters to keep our country safe and maintain peace on a global scale.
TheDimension Control Technician will be a part of the Tool Die Making team within the Metrology department and will have considerable knowledge of the job with substantial acquaintance with an understanding of general aspects of the job with a broad understanding of the detailed aspects of the job and be able to perform more advanced functions and resolve routine questions and problems.
The ideal candidate for this role will have excellent verbal and written communication skills with the ability to communicate, and collaborate across all levels, will thrive in a fast-paced team-oriented work environment with high expectations, enjoy significantly diverse assignments, demonstrate a high attention to detail to ensure accuracy of the product and have high integrity to self-report errors to a supervisor when detected. If you are comfortable in this high-energy environment and enjoy having a variety of tasks to keep you engaged, this is the opportunity for you!
****This position requires a willingness to work any shift including weekends and requires onsite presence due to the nature of the role.**
**Essential Functions / Responsibilities:**
+ Lay out, fabricate, and assemble a variety of standard and nonstandard major jigs, fixtures, tool masters, master tooling gauges, and related tooling involving compound angels and complex contours where the establishment and coordination to exacting tolerances of numerous tooling holes and reference points between several planes are required.
+ Plan work to be performed and determines methods and sequence of operations working from tool design drawings or own designs.
+ Sets up and operates optical instruments to check overall and detailed alignment, fit, or adjustment of assemblies.
+ Develop and designs holding devices and jig and fixture details.
+ Prepare operational sequences and shop drawings covering parts fabrication and assembly to be performed by others.
+ May monitor and verify quality in accordance with statistical process or other control procedures.
+ Collaborate with engineers, machinists, and other production personnel to ensure jigs and fixtures are integrated seamlessly into the manufacturing process.
+ Communicate with team members and supervisors to address any issues, concerns, or improvements related to jig and fixture design, fabrication, or assembly.
+ Participate in continuous improvement initiatives to optimize jig and fixture performance and overall manufacturing efficiency.
+ Perform routine maintenance on jigs and fixtures, including cleaning, lubrication, and part replacement as necessary.
+ Identify and repair damaged or worn components to ensure the continued accuracy and functionality of jigs and fixtures.
+ Develop and implement preventative maintenance plans to maximize the lifespan and performance of jigs and fixtures.
We offer flexible work schedules, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
**This requisition may be filled as a Level 3 or Level 4 depending on the years of relevant experience.**
**Basic Qualifications Level 3:**
+ High school diploma or equivalent with 4 years related experience with tool building and/or laser tracking in a manufacturing environment.
+ Experience devising reference systems and creating working build models.
+ Experience in fabrication, milling machining, or power tools.
+ Ability to frequently move and position objects weighing 35 pounds and ascend and descend ladders.
+ Ability to work inside and outside in a warehouse environment and a shop floor environment.
+ Ability to work within MS applications i.e., MS Word, Excel, and Adobe PDF.
+ Ability to work any shift including nights and weekends.
+ The ability to obtain and maintain Secret DoD Clearance AND Special Access Program (SAP) clearance within a reasonable timeframe as required by the business prior to the commencement of employment.
**Basic Qualifications Level 4:**
+ High school diploma or equivalent with 6 years related experience with tool building and/or laser tracking in a manufacturing environment.
+ Experience devising reference systems and creating working build models.
+ Experience in fabrication, milling machining, or power tools.
+ Ability to frequently move and position objects weighing 35 pounds and ascend and descend ladders.
+ Ability to work inside and outside in a warehouse environment and a shop floor environment.
+ Ability to work within MS applications i.e., MS Word, Excel, and Adobe PDF.
+ Ability to work any shift including nights and weekends.
+ The ability to obtain and maintain Secret DoD Clearance AND Special Access Program (SAP) clearance within a reasonable timeframe as required by the business prior to the commencement of employment.
**Preferred Qualifications:**
+ Active Secret DoD Clearance
+ Working knowledge of best practices and care with multiple computer aided metrology systems such as laser trackers, articulated arms, scanners 6DoF (Six Degree of Freedom).
+ U nderstanding of basic coordinate systems and blueprint reading.
+ Experience in reverse engineering and model building from collected data.
+ Knowledge of Integrated Systems Air Frames and Aero Structures.
+ Experience with computer aided graphic interfaces (Verisurf X, SA and Maya matrix, BuildIT, and Polyworks).
+ Tool and Die or related experience.
**What we offer:**
At Northrop Grumman, we are on the cutting edge of innovation -- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer comprehensive benefits including competitive medical and dental options, a great 401K matching program, college education assistance, annual bonuses, 14 Employee Resource Groups inclusive of all employees, and opportunities for career advancement across North America!
**Salary Range:** $59,300 - $98,800
**Salary Range 2:** $70,400 - $117,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MS-Iuka) Dimension Control Technician 1/22024-03-15T06:15:22-04:00https://northropgrumman.jobs/5ADE12BB1BE1421D952C317F154C7A2126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems Business Unit (ASBU) has openings for a **Dimension Control Technician 1/2** to join our team of qualified, diverse individuals. This position will be located in **Iuka** **,** **MS.**
TheDimension Control Technician will be a part of the Tool Die Making team within the Metrology department and will have considerable knowledge of the job with substantial acquaintance with an understanding of general aspects of the job with a broad understanding of the detailed aspects of the job and be able to perform more advanced functions and resolve routine questions and problems.
The ideal candidate for this role will have excellent verbal and written communication skills with the ability to communicate, and collaborate across all levels, will thrive in a fast-paced team-oriented work environment with high expectations, enjoy significantly diverse assignments, demonstrate a high attention to detail to ensure accuracy of the product and have high integrity to self-report errors to a supervisor when detected. If you are comfortable in this high-energy environment and enjoy having a variety of tasks to keep you engaged, this is the opportunity for you!
****This position requires a willingness to work any shift including weekends and requires onsite presence due to the nature of the role.**
**Essential Functions / Responsibilities:**
+ Lay out, fabricate, and assemble a variety of standard and nonstandard major jigs, fixtures, tool masters, master tooling gauges, and related tooling involving compound angels and complex contours where the establishment and coordination to exacting tolerances of numerous tooling holes and reference points between several planes are required.
+ Plan work to be performed and determines methods and sequence of operations working from tool design drawings or own designs.
+ Sets up and operates optical instruments to check overall and detailed alignment, fit, or adjustment of assemblies.
+ Develop and designs holding devices and jig and fixture details.
+ Prepare operational sequences and shop drawings covering parts fabrication and assembly to be performed by others.
+ May monitor and verify quality in accordance with statistical process or other control procedures.
+ Collaborate with engineers, machinists, and other production personnel to ensure jigs and fixtures are integrated seamlessly into the manufacturing process.
+ Communicate with team members and supervisors to address any issues, concerns, or improvements related to jig and fixture design, fabrication, or assembly.
+ Participate in continuous improvement initiatives to optimize jig and fixture performance and overall manufacturing efficiency.
+ Perform routine maintenance on jigs and fixtures, including cleaning, lubrication, and part replacement as necessary.
+ Identify and repair damaged or worn components to ensure the continued accuracy and functionality of jigs and fixtures.
+ Develop and implement preventative maintenance plans to maximize the lifespan and performance of jigs and fixtures.
**This requisition may be filled as a Level 1 or Level 2 depending on the years of relevant experience.**
**Basic Qualifications:**
**DCT Level 1:**
+ High school diploma or equivalent with 0 years of experience
+ Experience in fabrication, milling machining, or power tools.
+ Ability to frequently move and position objects weighing 35 pounds and ascend and descend ladders.
+ Ability to work inside and outside in a warehouse environment and a shop floor environment.
+ Ability to work within MS applications i.e., MS Word, Excel, and Adobe PDF
+ Ability to work All shifts as required (A: M-Th 10/Day AM, B: M-Th 10/Day PM, C: F-Sun 12/Day AM, D: F-Sun 12/Day PM)
+ The ability to obtain and maintain Secret DoD Clearance AND Special Access Program (SAP) clearance within a reasonable timeframe as required by the business prior to the commencement of employment.
**DCT Level 2:**
+ High school diploma or equivalent with 2 years of experience in fabrication, milling machining, or power tools.
+ Ability to frequently move and position objects weighing 35 pounds and ascend and descend ladders.
+ Ability to work inside and outside in a warehouse environment and a shop floor environment.
+ Ability to work within MS applications i.e., MS Word, Excel, and Adobe PDF
+ Ability to work All shifts as required (A: M-Th 10/Day AM, B: M-Th 10/Day PM, C: F-Sun 12/Day AM, D: F-Sun 12/Day PM)
+ The ability to obtain and maintain Secret DoD Clearance AND Special Access Program (SAP) clearance within a reasonable timeframe as required by the business prior to the commencement of employment.
**Preferred Qualifications:**
+ An Associates degree with knowledge of laser tracking with assembly tools in an aircraft manufacturing environment.
+ Knowledge of Metrology Processes including setting details with a laser tracker.
+ Able to operate and or be certified to operate a forklift and overhead crane (Northrop Grumman provided training)
+ Tool and Die or related experience
+ Creating working build models in software products in BuildIT or Verisuf is also highly desired.
**What we offer:**
At Northrop Grumman, we are on the cutting edge of innovation -- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer comprehensive benefits including competitive medical and dental options, a great 401K matching program, college education assistance, annual bonuses, 14 Employee Resource Groups inclusive of all employees, and opportunities for career advancement across North America
**Salary Range:** $39,800 - $66,300
**Salary Range 2:** $47,300 - $78,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) Manufacturing Expeditor (Level 1 and Level 2)2024-03-15T06:15:22-04:00https://northropgrumman.jobs/C393F594A98A4AE7B11B324B5EC4D77226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Manufacturing Expeditor 1/2** to join our team of qualified, diverse individuals. This position will be located in **Clearfield, Utah.**
**We are filling this positions for our A shift (Mon-Thurs days; 10 hrs; Overtime welcome)**
**Position Description**
Expedites, routes, and dispatches material and parts in accordance with production schedules and commitments. Reviews master production schedule to determine possible and actual shortages and alerts proper authorities of potential problems. Generates progress reports on schedule status and keeps records of completed orders. Takes appropriate action to expedite materials such as order damaged material repaired or in-house material transportation. Reports on completion dates, material changes or substitutions, shortages, and schedule modifications.
**Position may be filled at level 1 or level 2 based on qualifications below**
**Basic Qualifications:**
**Manufacturing Expeditor Level 1:**
+ High School Diploma
+ Current DoD Secret Security Clearance
+ Ability to obtain Secret Clearance and special program access
+ This position requires the ability to perform moderate strenuous physical and repetitious work to include: bending, stooping, kneeling, carrying tools, lifting up to 50 pounds on a frequent basis
**Manufacturing Expeditor Level 2:**
+ High School Diploma and 2 years of relevant experience in manufacturing and/or production and/or material transportation
+ Current DoD Secret Security Clearance
+ Ability to obtain Secret Clearance and special program access
+ This position requires the ability to perform moderate strenuous physical and repetitious work to include: bending, stooping, kneeling, carrying tools, lifting up to 50 pounds on a frequent basis
**Preferred Qualifications**
+ Previous experience with forklifts, cranes, or other heavy equipment use
**What We Offer:**
At Northrop Grumman, we are on the cutting edge of innovation-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer exceptional benefits/healthcare, a great 401K matching program, and 14 Employee Resource Groups inclusive of all employees!
"ASBUJobs"
**Salary Range:** $32,400 - $54,000
**Salary Range 2:** $38,600 - $64,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Semiconductor Process Integration Engineering Manager 12024-03-15T06:15:22-04:00https://northropgrumman.jobs/DFB07EECDB5741FDA3B8A8A9ADEF4D9026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Microelectronics Center of Northrop Grumman Mission Systems is seeking a Semiconductor Process Integration Engineering Manager 1 within our Advanced Technology Lab (ATL), who will be responsible for both technical and management activities associated with the fabrication of GaN semiconductor devices.
Advanced Technology Lab (ATL) - located outside of Baltimore, Maryland - where we design, manufacture, and test semiconductor products for internal and commercial production customers as well as emerging technology programs. Northrop Grumman's ATL semiconductor foundry is a unique capability supporting a range of production microelectronic devices (Silicon, Gallium Arsenide, Gallium Nitride, Silicon Carbide, Carbon Nanotubes) and providing leading edge technology development in superconducting electronics. Our devices enable a number of Northrop Grumman's ground-based radars, avionic radars, and space systems.
Join us for the chance to work with an experienced and talented team while helping serve your country. Enjoy the opportunity to grow and learn with a variety of challenging projects in production, R&D, ongoing long-term programs, and new programs targeting future military platforms. Our multidisciplinary foundry team enables activities from development to sustainment process engineering. ATL is responsible for all aspects of semiconductor technology including design, mask making, wafer fabrication, test, and assembly.
The Manager of this Process Integration team will serve as a functional manager for a group of 5-10 engineers with the focus of supervising the manufacturability of the advanced SLCFET (Super-Lattice Castellated Field Effect Transistor) technology into production. Functional duties include onboarding, personnel performance assessments, time charging approval, and employee development.
\#NGATL
Basic Qualifications:
+ Bachelor of Science degree in Electrical Engineering, Chemical Engineering, Materials Engineering and Mechanical Engineering, Chemistry, Physics, or other related technical discipline with 5 years of relevant experience (MS degree with 3 years of experience).
+ Direct experience with semiconductor fabrication
+ Management or Leadership experience (team lead, project lead, organization lead, etc.)
+ Basic knowledge of Compound Semiconductor Processing
+ Basic knowledge of statistical process control (SPC)
+ Must be a US Citizen
+ Must be able to obtain and maintain a Secret Clearance
Preferred Qualifications:
+ Secret clearance
+ Experience in RF Device physics and Process Integration
+ Functional and program management experience
**Salary Range:** $109,800 - $164,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Principal / Senior Principal Chemical Vapor Deposition (CVD) Process Engineer2024-03-15T06:15:21-04:00https://northropgrumman.jobs/1AC32AEF9616487CA8C0142CB2BAA9ED26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Microelectronics Center of Northrop Grumman Mission Systems is seeking an independent and resourceful Chemical Vapor Deposition (CVD) Process Engineer.
Advanced Technology Lab (ATL) - located outside of Baltimore, Maryland - is where we design, manufacture, and test semiconductor products for internal and commercial production customers as well as emerging technology programs. Northrop Grumman's ATL semiconductor foundry has unique capabilities supporting a range of production microelectronic devices (Silicon, Gallium Arsenide, Gallium Nitride, Silicon Carbide, Carbon Nanotubes) and providing leading edge technology development in superconducting electronics. Our devices enable a number of Northrop Grumman's ground-based radars, avionic radars, and space systems.
Join us for the chance to work with an experienced and talented team while helping serve your country. Enjoy the opportunity to grow and learn with a variety of challenging projects in production, R&D, ongoing long-term programs, and new programs targeting future military platforms.
Our multidisciplinary foundry team enables activities from development to sustainment process engineering. ATL is responsible for all aspects of semiconductor technology including design, mask making, wafer fabrication, testing, and assembly.
**This is a full-time 1st shift position, covering at a minimum Monday - Friday.**
The 1st shift Chemical Vapor Deposition (CVD) Process Engineer will be responsible for:
All aspects of sustaining existing CVD/ALD processes for a development line including process qualifications and technician training. Interfacing with other unit process engineers, operations, and integration engineers during the design and execution of process/equipment improvement projects. Developing CVD/ALD processes for new materials. Developing new CVD/ALD processes for emerging technologies in both microelectronics and superconducting electronics. Writing and maintaining process documentation. Supporting statistical process control ( SPC) and continuous improvement efforts Performing all other related duties as assigned
\#NGATL
This requisition may be filled at Principal Chemical Vapor Deposition (CVD) Process Engineer or Senior Principal Chemical Vapor Deposition (CVD) Process Engineer
**Basic Qualifications for** **Principal Chemical Vapor Deposition (CVD) Process Engineer:**
• Bachelors degree in Electrical Engineering, Chemical Engineering, Materials Engineering, Chemistry, Physics or other related technical discipline with 5 years of relevant experience (3 years with a Masters or 0yrs with PhD).
• Must be able to work a large percentage of time working in a Class 100 cleanroom environment.
• Must be able to work the weekday shift, covering at a minimum Monday - Friday
• Knowledge of statistical process control (SPC).
• Must be a US Citizen
• Must be able to obtain and maintain a Secret clearance.
**Basic Qualifications for Senior Principal Chemical Vapor Deposition (CVD) Process Engineer:**
• Bachelors degree in Electrical Engineering, Chemical Engineering, Materials Engineering, Chemistry, Physics or other related technical discipline with 9 years of relevant experience (7 years with a Masters or 4 years with PhD).
• Must be able to work a large percentage of time working in a Class 100 cleanroom environment.
• Must be able to work the weekday shift, covering at a minimum Monday - Friday
• Knowledge of statistical process control (SPC).
• Must be a US Citizen
• Must be able to obtain and maintain a Secret clearance.
**Preferred Qualifications:**
• Direct experience with high volume semiconductor fabrication, working in a cleanroom environment.
• Hands-on experience running CVD process equipment and with process sustaining/development activities.
• Experience with TEOS- and silane-based dielectric deposition
• Experience with thin film characterization equipment and techniques
• Experience with Applied Materials P5000 and Centura platforms
• Demonstrated ability to communicate well with others and work independently
• Excellent project management skills with demonstrated ability to identify manufacturing improvements, manage change, and implement solutions.
• Experience in structured problem-solving methodology to troubleshoot tool and process issues.
• Data analysis and visualization (JMP and/or MiniTab).
• Advanced knowledge of statistical process control (SPC).
• Active Secret clearance.
**Salary Range:** $102,400 - $128,000
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Principal / Sr. Principal Systems Engineer2024-03-15T06:15:21-04:00https://northropgrumman.jobs/534200A31B9D4BB4A65D6F9FB2D14FD126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is a trusted provider of mission-enabling solutions for global security. Our Engineering and Sciences (E&S) organization pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
As an integral part of our Systems Engineering Integration and Test (SEIT) team in Linthicum, MD, you will support the SEIT lead and embedded product Systems Engineering leads across the system lifecycle.
This will be an on-site position located in Linthicum, MD. This requisition may be filled as a Principal Systems Engineer or a Senior Principal Systems Engineer.
**Roles and Responsibilities:**
+ Participate in system engineering functions such as: CONOPS development, system architecture, subsystem design/analysis, creation and maintenance of system/subsystem requirements and interface requirements / definition documentation, timing and sizing, design reviews, system integration, test and/or verification.
+ Participate in the Technical Review Board (TRB) and Change Control Board (CCB) and process.
+ Performs technical planning, system integration, verification and validation, cost and risk, and supportability and effectiveness analyses for total systems.
+ Analyze all levels of total system product to include: concept, design, fabrication, test, installation, operation, maintenance and disposal.
+ Ensures the logical and systematic conversion of customer or product requirements into total systems solutions that acknowledge technical, schedule, and cost constraints.
+ Performs functional analysis, timeline analysis, detail trade studies, requirements allocation and interface definition studies to translate customer requirements into hardware and software specifications.
**Basic Qualifications for a Principal Systems Engineer:**
+ Bachelor's degree with 5 years of experience, a Master's degree with 3 years of experience or a Ph.D. with 0 years of experience in Electrical Engineering, Computer Engineering, Computer Science, Applied Physics, Applied Mathematics, or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S. Citizenship is required.
+ The ability to obtain/maintain an Active DoD secret clearance and Special Program Access (SAP) prior to start is required.
+ Experience with requirements derivation and management using DOORS or Cameo including creation and management of custom views and attributes
+ Experience defining test approaches to support requirements verification and validation.
+ Experience with data analysis and signal processing
**Basic Qualifications for a Senior Principal Systems Engineer:**
+ Bachelor's degree with 9 years of experience, a Master's degree with 7 years of experience or a Ph.D. with 4 years of experience in Electrical Engineering, Computer Engineering, Computer Science, Applied Physics, Applied Mathematics, or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S. Citizenship is required.
+ The ability to obtain/maintain an Active DoD secret clearance and Special Program Access (SAP) prior to start is required.
+ Experience with requirements derivation and management using DOORS or Cameo including creation and management of custom views and attributes
+ Experience defining test approaches to support requirements verification and validation.
+ Experience with data analysis and signal processing
**Preferred Qualifications:**
+ Advanced degrees in Electrical Engineering, Computer Engineering, Computer Science, Applied Physics, Applied Mathematics or related technical fields.
+ Active DoD Secret Clearance or higher
+ Experience with descriptive modeling, developing system and/or component level behavioral models
+ Experience with C/C++ or Python or MATLAB
+ INCOSE Certification
+ Multiple domain experience across the electromagnetic spectrum (e.g., radar and electronic warfare)
+ Demonstrated knowledge of earned value management
+ Work experience and/or exposure to manufacturing
+ Work experience and/or exposure to Supply Chain Management (Subcontractors)
This position is contingent upon the ability to obtain or maintain an active DoD Secret clearance with Special Program Access (SAP) prior to start.
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Salary Range:** $102,400 - $153,600
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CT-East Hartford) Quality Engineer/ Principal Quality Engineer2024-03-15T06:15:20-04:00https://northropgrumman.jobs/2665A1D8FAF6452EBED5FC0CA2C3FC6F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
AOA Xinetics (AOX), a wholly owned Northrop Grumman Space Systems, Payload and Ground Systems Division Strategic Business Unit, designs, develops, and manufactures precision, advanced optical products and systems such as deformable mirrors, optical systems, adaptive optics control systems and silicon carbide optical structures for the U.S. Government, commercial customers, and U.S. defense prime and second-tier contractors. Markets for these technologies include civilian astronomy and semiconductor capital equipment as well as defense Intelligence, Surveillance and Reconnaissance ( **ISR** ) and Directed Energy.
We are seeking a Quality Engineer to support our Special Programs team in East Hartford, CT in its Drive for Excellence and work with our team of experts in developing and delivering Space PGS systems and solutions that keep our world safe. AOX delivers industrial photonics systems and development services for a variety of customers and applications. AOX excels in a range of applications from adaptive optical systems, deformable mirrors, wave-front sensors, precision actuators, to photonic systems that acquire and process data in real time for a variety of high availability applications.
Learn more about AOX at https://www.northropgrumman.com/who-we-are/aoa-xinetics
**Overview**
Reporting to the Quality Engineering Manager, the Quality Engineer will develop, modify, apply and maintain quality evaluation and control systems and protocols for processing materials into partially finished or finished product. You will collaborate with engineering and manufacturing functions to ensure quality standards are in place and met at all times; you will devise and implement methods, procedures, and plans for evaluating the precision and - conformance of products characteristics. You will also audit the Quality and Business Management Systems for effectiveness. You will ensure that corrective measures when implemented, meet acceptable reliability standards and that documentation is compliant with requirements. You will have input in the areas of design, supplied material, production control, product evaluation and reliability, inventory control and/or research and development as they apply to product or process quality, and an added plus if possesses lean and six-sigma quality engineering methodologies.
**This position may be filled as either a Quality Engineer (level 2) or a Principal Quality Engineer (level 3).**
**Responsibilities:**
+ Develop and implement Program Quality Plans for opto-electrical components, sub-systems and systems, based on customer and internal specification requirements
+ Develop and maintain standards for quality, including operating methods, processes, systems and procedures; Work closely with Manufacturing Engineering to establish and sustain process capability
+ Evaluate components and sub-systems; Review and verify electro-optical testing methods and test environments; Compile data and define changes required in product design, testing equipment, testing procedures, manufacturing processes, or new testing requirements; Evaluate product compliance and readiness to release
+ Recommend test methods, statistical process control procedures for achieving required levels of product Quality, and propose changes to improve system and/or process capability
+ Develop documentation as required to support customer and test/certification requirements
+ Perform first article qualifications, Failure Investigations, and effectively drive resolution processes. Manage improvement projects to drive Operational Excellence
+ Participate in MRB Process
+ Support and process Mission Assurance requirements as needed
+ Execute other assignments based on Business needs
**Basic Qualifications for a Quality Engineer (level 2):**
+ Bachelor's degree in Science or a STEM discipline
+ 3+ years' relevant experience in manufacturing or quality assurance
+ Knowledge of ISO 9001 and AS9100
+ Geometric Dimensioning and Tolerancing (GD&T)
+ Experience developing and implementing Program Quality Plans and support processes
+ Ability to interpret Engineering Drawings
+ Experience using product verification instruments including CMM - CMM programming capability is a plus
+ Experience in RCCA; Continuous Improvement; and Process Engineering
+ Proficiency with MS Office applications
+ U.S. Citizenship required for employment
+ Ability to obtain and maintain a Top Secret clearance
**Basic Qualifications for a Principal Quality Engineer (level 3):**
+ Same as above, but with 5+ years relevant experience in manufacturing or quality assurance
+ Understanding of ISO 9001 and AS9100
**Preferred Qualifications:**
+ Master's degree in Science, or a STEM discipline
+ 5+ years' expertise in Quality Assurance
+ Proficient in application of Process Engineering methodologies
+ Proficiency in QMS auditing
+ ASQ Certification(s) or Equivalent Quality Certification(s)
+ Understanding of Configuration Management process
+ Ability to provide training on the application of Quality methodologies
+ Lean / Six Sigma Green Certification
+ Strong Analytical and Technical acumen
+ Exceptional presentation skills
+ DoD/Defense Contractor Industry experience (e.g. Aerospace/Space/Defense/Mission/Semiconductor)
+ Knowledge of Manufacturing Operational Excellence
+ Knowledge of Process Failure Mode & Effects Analysis (PFMEA)
+ Active Top Secret clearance
**Salary Range:** $79,300 - $118,900
**Salary Range 2:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Cryogenic Thermal Analyst2024-03-15T06:15:20-04:00https://northropgrumman.jobs/65D01A6407824110BDD7AC976E12799026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
The Northrop Grumman Mission Systems (NGMS) Advanced Processing Solutions Business pushes the boundaries of innovation, redefines the leading edge of exotic new technologies, and drives advances in the sciences. One of our most challenging new fields is Transformational Computing, which combines the unique properties of superconductivity and quantum mechanics to develop radical new energy-efficient computing systems. Our team is chartered with providing the skills to transform computing beyond Moore's Law, advancing development of computer architectures, processing/memory subsystems, and large-scale high-performance computing systems. You'll work in a R&D environment alongside a broad array of scientists and engineers to make these processing solutions a reality and deliver remarkable new advantages to the warfighter.
The Networked Information Solutions (NIS) Advanced Processing Solutions business is seeking Thermal Engineers to join our Simulation and Modeling team.
**This position requires work onsite at either our Advanced Technologies Laboratory located in Linthicum, MD or in Annapolis Junction, MD**
Candidate will work as a thermal engineer supporting the development of superconducting computing technologies. Responsibilities include creating low temperature numerical models, running experiments measuring material thermal properties, boundary resistances, and heating in components. The job will often require deep dives into literature to understand the unique physics that occurs in the sub-Kelvin/nanoscale regime.
Basic Qualifications:
+ Bachelor's of Science in: Mechanical Engineering, Aerospace Engineering, Physics or related field specializing in thermodynamics and heat transfer with 2 years of professional experience, a M.S Degree with at least 6+ months of related research or working experience.
+ Working knowledge of thermal analysis software (COMSOL, IcePak, Sinda/Fluint) or similar.
+ Experience with and proficiency in:
+ Problem solving and analytical skills
+ Heat transfer and thermodynamics
+ Experimental design
+ Ability to communicate effectively to team members both verbally and in writing.
+ Eligibility to obtain and maintain a TS/SCI with polygraph security clearance. US Citizenship is a prerequisite.
Preferred Qualifications:
+ Design and analysis experience simulating heat transfer within superconducting circuitry.
+ Working knowledge of cryogenic test systems; dilution refrigeration, 4 K cryo-coolers, Adiabatic Demagnetization Refrigeration (ADR) and liquid helium immersion.
+ Experience in modeling mechanical structures in; Solidworks, NX or similar.
+ Ability to adjust to changing priorities and requirements.
+ Active TS/SCI with polygraph security clearance
**Salary Range:** $83,300 - $124,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Redondo Beach) Software Engineer / Principal Software Engineer2024-03-15T06:15:20-04:00https://northropgrumman.jobs/7278CC830A344C21844CDAD6D513041B26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
Northrop Grumman Aeronautics Systems is currently seeking an experienced **Software** **Engineer/ Principal Software Engineer** to join our team of qualified, diverse individuals. This position will be located in **Redondo Beach, CA** operating on a 9/80 work week.
Seeking experienced Software Engineer to join Model Development Center. The selected candidate will be responsible for the development and delivery of AFRL AFSIM and Enterprise Campaign Northrop User Team Repository of Integrated AFSIM (NUTRIA).
**This position may be filled by either a Software Engineer or a Principal Software Engineer level based on the qualifications listed. **
**Essential Functions:**
+ Support MS&A activities by developing AFSIM plugins and core enhancements.
+ Generate and deliver AFSIM/NUTRIA releases and documentations to customers.
+ Developing and implementing comprehensive test strategies, plans, procedures. Ensure compliance with program requirements, industry standards, NG processes as needed.
+ Collaborating closely with cross functional teams, cross (related) programs and SAS teams.
**Basic Qualifications:**
+ **(Engineer Software)** Bachelor's degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited university and **2** or more years of experience in software engineering OR a Master's degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline and **0** or more years of experience in software engineering.
+ **(Principal Engineer Software)** Bachelor's degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited university and **5** or more years of experience in software engineering OR a Master's degree in a Science, Technology, Engineering, or Mathematics (STEM) discipline and **3** or more years of experience in software engineering.
+ Strong coding experience with C++ Experience with team collaboration tool such as Jira, Confluence, Bitbucket
+ Experience with Version Control Software Git
+ Must possess an Active DoD Secret clearance.
**Preferred Qualifications:**
+ Strong coding experience with C++, Python, and MATLAB
+ Experience with CMake and Conan
+ Experienced in building AFSIM plugins and core enhancements.
+ Strong understanding of best practices of AFSIM Scenario Development
+ Experience with multiple Operation Analysis Tools: AFSIM, NEAT, Suppressor, or Brawler
+ Strong communication skills to clearly present technical approaches and findings
+ Understand mission-level modeling, simulation, and analysis.
**Salary Range:** $87,200 - $130,800
**Salary Range 2:** $107,300 - $160,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Manager Programs 1/Manager Programs 2 - Advanced Land Sensors OU2024-03-15T06:15:19-04:00https://northropgrumman.jobs/1242084DAAE14C8381DFD7F845F2259D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Mission Systems (NGMS)** Sector is seeking a **Manager, Programs 1 or 2** to join their team in **Linthicum, MD** who support subcontract management within our exciting Advanced Land Sensors (ALS) Operating Unit (OU). The OU includes the full rate production of the Ground/Air Task-Oriented Radar (G/ATOR) System, as well as logistics, sustainment, and development activities.
**What You'll Get to Do:**
+ Responsible and accountable for the strategy, planning, and execution of major subcontract material and services content for a Program or collection of Programs.
+ Acts as the main focal point for the Program Management Office (PMO) for major subcontracts and coordinates cross-functionally to ensure that all supply chain commitments are met, and that appropriate mitigation plans are developed and executed to address any programmatic risks related to suppliers.
+ Develops the material strategy and leads the activities for the major subcontract supplier management team in all phases of the Business Acquisition Process (BAP).
+ Ensures that prior to program execution, all elements of the proposed program(s) plans have complete and accurate schedules, budgets, make/buy plans, and procurement and subcontracts strategies.
+ Leads major subcontract Program execution and is accountable for resource planning, material cost, forecasting, schedule performance, risk/opportunity management, supplier performance, and supply chain issue resolution.
+ Briefs various Program Manager peers and executive management on the status and issues affecting Program cost and schedule performance.
Additional Responsibilities:
Focal point for managing Program Major Subcontracts throughout the Program life cycle from pursuit to contract close out. The selected candidate will be responsible for the following:
+ Supply Chain Management strategy, demand planning, BOM maintenance, coordination w/ logistics and procurement stakeholders, developing a Material Program Plan (MPP), and risk management.
+ Manage the performance of the integrated subcontractor cost, quality, & delivery.
+ Material risk management, including identification, and mitigation planning and execution.
+ Material budget development, sales forecasting and cost (EAC) management; EVMS if applicable.
+ Cross functional coordination as it pertains to subcontract management, specifically with Supplier Quality Engineering (SQE), Procurement, and the IPT.
+ Briefs Program and Executive management and Customer on the status and issues affecting Supplier performance and cost.
T his requisition may be filled at either a Manager Programs 1 level or Manager Programs 2 level.
**Basic Qualifications for a Manager Programs 1:**
+ Bachelor's Degree and 6+ years OR a Master's Degree and 4+ years of related professional/military experience that includes a background in Supply Chain Management and/or Program/Project Management (Note: An additional 4 years of applicable work experience may be substituted for a Bachelor's degree).
+ Experience with supplier management.
+ SAP experience.
+ Knowledge of FAR and agency supplements.
+ US Citizenship.
+ Ability to obtain and maintain a DoD Secret Security Clearance.
**Basic Qualifications for a Manager Programs 2:**
+ Bachelor's Degree and 10+ years OR a Master's Degree and 8+ years of related professional/military experience that includes a background in Supply Chain Management and/or Program/Project Management (Note: An additional 4 years of applicable work experience may be substituted for a Bachelor's degree).
+ Experience with supplier management.
+ SAP experience.
+ Knowledge of FAR and agency supplements.
+ US Citizenship.
+ Ability to obtain and maintain a DoD Secret Security Clearance.
**Preferred Qualifications:**
+ Supply Chain Planning & Earned Value Management Experience.
+ Prior experience leading a team/process/project.
+ Direct experience interfacing with leadership.
+ Active DoD Secret clearance (or higher) that is in-scope (granted or renewed in the last 6 years).
+ Ability to obtain a Top Secret/SCI security clearance.
**Salary Range:** $120,800 - $181,200
**Salary Range 2:** $145,000 - $217,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-San Diego) Principal / Sr Principal Project Management2024-03-15T06:15:19-04:00https://northropgrumman.jobs/4BE9D583B0A942769C0D47E9AF150D0526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems is seeking an experienced **Principal** **Project Manager/ Sr. Principal Project Manager** to join our team of qualified, diverse individuals. This position will be located in in **Palmdale, Rancho Bernardo, or El Segundo, CA** , with some travel between those sites as required.
This project management position will support the Program Manager or IPT Lead and leadership team on a restricted effort in Rancho Bernardo, El Segundo or Palmdale, CA, with some travel between those sites as required. Teleworking is not available as an option. The overall responsibilities include executable tasks that will enable program leadership to achieve operational excellence.
Primary duties will include facilitating cross-IPT and cross-function integration, managing the operational aspects of ongoing projects, and serving as liaison between project management and planning, project teams, other management team members, and program Functions.
Regular responsibilities may include the following:
- Review status of projects and budgets, manage schedules, and prepare status reports
- Assessment of project issues and development of resolutions to meet productivity, quality, and client-satisfaction goals and objectives. Development of mechanisms for monitoring project progress and for intervention and problem solving with project managers, line managers, and clients.
- Tool and metric development, and management of small projects within the larger program and business area
- Support of new business proposal writing and team organization
- Support of internal and customer meetings including meeting logistics, presentation material templates, collection, and content generation, meeting attendance for on-site integration, action item tracking, and post-meeting follow-up
- Maintaining organization charts
- Conduct business travel up to 25% of the time from assigned work location to Palmdale or Rancho Bernardo, CA.
Must be highly detail-oriented, organized, proactive, and a skilled communicator.
**Basic Qualifications for Principal Project Management:**
Bachelor's degree from an accredited university and 6 years of experience in Project Management, Program Integration/Execution; 4 years with master's degree
Experience with Microsoft Office Products (PowerPoint, Word, Excel, Project)
Knowledge of Project Management principles
Current, active DoD Secret clearance within 4 years of last investigation / adjudication
Must be able to attain and maintain Special Program Access (PAR/SAP) within a reasonable amount of time as determined by business needs
**Basic Qualifications for Sr. Principal Project Management:**
Bachelor's degree from an accredited university and 10 years of experience in Project Management, Program Integration/Execution; 8 years with master's degree
Experience with Microsoft Office Products (PowerPoint, Word, Excel, Project)
Knowledge of Project Management principles
Current, active DoD Secret clearance within 4 years of last investigation / adjudication
Must be able to attain and maintain Special Program Access (PAR/SAP) within a reasonable amount of time as determined by business needs
**Preferred Qualifications:**
Current Special Program Access
Master's Degree
Project integration experience
Experience with formal Risk Management including tools and Risk Management process
Possess the ability to adapt quickly in a rapidly changing environment
Be able to organize and execute tasks well and to a level of completion that creates value given vague success criteria
Able to learn new processes and technical information quickly
Excel in an environment with multiple stakeholders giving input into tasks
Have good communication and work well with cross-functional and diverse groups
CAM Certification or related experience, PMP Certification
Aerospace and/or Defense Industry Experience
**Salary Range:** $88,700 - $133,100
**Salary Range 2:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Sykesville) Operations Project Manager or Principal Operations Project Manager2024-03-15T06:15:19-04:00https://northropgrumman.jobs/6018FE4AB4D34082A89052A5A37E6B5D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**The Northrop Grumman Mission Systems Sector - located in Sykesville, MD - has an immediate need for an Operations Project Manager (OPM).** The OPM must be a self-starter, self-motivated individual who can work in a fast-paced environment. This job may be filled as an OPM or a Principal OPM based on the qualifications below. This is not a Manager job. This is an individual contributor role.
**The responsibilities of an OPM include:**
+ Managing and maintaining the day-to-day interfaces and communications between the assigned Program Management Office (PMO), Manufacturing, Test, Manufacturing Resource Planning (MRP), Mission Assurance (MA), Supply Chain (SCM), Engineering, and Shipping.
+ Achieving targeted cost and schedule requirements.
+ Facilitating internal meetings in a way to support design, production, and problem resolutions.
+ Reporting Earned Value Management System (EVMS) and serving as the Cost Account Management (CAM) for manufacturing projects.
+ Leading or participating on a product team, responsible for product-level requirements analysis, engineering and manufacturing of the products assigned, product tracking, and product visibility.
+ Representing manufacturing at the program Risk Review Board (RRB) meetings and creating / managing any applicable manufacturing risks and/or opportunities from the program risk register.
+ Supporting and generating proposal documentation as needed to foster new work.
**Basic Qualifications for an OPM:**
+ A Bachelor's degree and 2+ years of relevant work experience or 0+ years of relevant work experience with a Master's degree
+ The candidate must have experience in leadership and project management.
+ Ability to obtain and maintain a Secret Security Clearance per business requirements (US citizenship required)
+ Experience with SAP or other Enterprise Resource Planning (ERP) system and MS Office applications including Excel, Word, Project, and Power Point
**Preferred Qualifications:**
+ Master's degree in Engineering or Business Management
+ Experience with cost, schedule, project leadership, and/or process improvement
+ Manufacturing or Engineering experience
+ Experience with bases of estimates, shop order creation, and Earned Value Management Systems (EVMS)
+ Active Secret clearance
**Basic Qualifications for a Principal OPM:**
+ A Bachelor's degree and 5+ years of relevant work experience or 3+ years of relevant work experience with a Master's degree
+ The candidate must have experience in leadership and project management.
+ Ability to obtain and maintain a Secret Clearance per business requirements (US citizenship required)
+ Experience with SAP or other Enterprise Resource Planning (ERP) system and MS Office applications including Excel, Word, Project, and Power Point
**Preferred Qualifications:**
+ Master's degree in Engineering or Business Management
+ Experience with cost, schedule, project leadership, and/or process improvement
+ Manufacturing or Engineering experience
+ Experience with bases of estimates, shop order creation, and Earned Value Management Systems (EVMS)
+ Active Secret clearance
**Salary Range:** $83,300 - $124,900
**Salary Range 2:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Sentinel - Test / Principal Test Conductor - 123552024-03-15T06:15:19-04:00https://northropgrumman.jobs/9CC43252E584446682A84136016437ED26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a [insert title from BOSS]. This position will be located in [insert location from BOSS] and will support the Sentinel - Ground Based Strategic Deterrent (GBSD) program. This role may offer a competitive relocation assistance package.
**What You** **'** **ll Get To Do:**
Launch Support System (LSS) is a team of diverse disciplines deploying a flight test specific product at multiple locations. LSS has a significant and valuable impact on range safety and flight data management through the life of the Sentinel program and coordinates with multiple other product groups.
LSS is looking for a level 2/3 Test Conductor to direct lab functions and interface directly with lab personnel to support system level testing. The selected candidates' responsibilities include the following:
• Material documentation, labeling, status, maintenance & accountability records
• Coordinate with Test Planning Team to compile and deliver Customer Deliverable Documents
• Prepare for and execute Test Readiness Reviews (TRRs)
• Troubleshoot System Issues on location as they arise with assistance from design engineers
• Interface with software developers, systems engineers, program leadership, and customer representatives in support of integration and test activities.
• Compile data and define changes required in test equipment, test procedures, or new test requirements.
• Assist in authoring, release, and verification of both hardware and software test plans & procedures.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
• Medical, Dental & Vision coverage
• 401k
• Educational Assistance
• Life Insurance
• Employee Assistance Programs & Work/Life Solutions
• Paid Time Off
• Health & Wellness Resources
• Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**You** **'** **ll Bring These Qualifications:**
**Test Conductor:**
+ 2 years of relevant experience with a Bachelors Degree; 0 years with a Masters; 4 additional years of experience may be considered in lieu of a degree.
**Principal Test Conductor:**
+ 5 years of relevant experience with a Bachelors Degree; 3 years with a Masters; 0 years with a PhD; 4 additional years of experience may be considered in lieu of a degree.
**Required for both levels:**
+ US Citizenship with an Active Secret Clearance or higher (awarded within the past 6 years) with the ability to receive a Special Access Program (SAP) certification.
+ Experience conducting and/or leading testing.
**These Qualifications Would be Nice to Have:**
+ US Citizenship with an Active Top-Secret Clearance or higher (awarded within the past 6 years) with the ability to receive a Special Access Program (SAP) certification.
+ Bachelor's degree or higher in a STEM (Science, Technology, Engineering, or Math) discipline from an ABET-accredited university.
+ Ability to professionally engage and perform testing in a formal setting with Government Representatives and Customers
+ Experience instrumenting Test Articles and deploying Data Acquisition Systems in field or lab environments to successfully capture test data.
+ Ability to understand and develop Test Plans in a Model-Based Systems Engineering (MBSE) environment.
+ Adept at data analysis, queries, report writing and presenting findings
+ Experience with Weapon Systems, Missile/Rocket Systems, or DOD programs
+ COMPTIA Security+ certification or be willing to obtain it.
**Salary Range:** $68,500 - $102,700
**Salary Range 2:** $84,200 - $126,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-AL-Huntsville) Manager Programs 32024-03-15T06:15:18-04:00https://northropgrumman.jobs/6EDF51CF565C477EA30203F6823057B526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems (NGDS) is looking for you to join our team as the Program Manager for IBCS Software Development and Sustainment on the Integrated Battle Command System (IBCS) in Huntsville, AL. IBCS integrates disparate radars and weapons to construct a far more effective Integrated Air and Missile Defense (IAMD) enterprise. IBCS delivers a single integrated air picture with unprecedented accuracy and broadens surveillance and protection areas. Northrop Grumman has been the prime in developing this critical capability for the U.S. Army for over 10 years and anticipates further growth as the system is adapted to additional assets for the US Army, other services and our allied partners, and expanded to serve as the Army's contribution to Joint All Domain Command and Control.
As the Program Manager, you will report to the IBCS Program Director and be responsible for technical, cost and schedule performance of a multi-disciplinary team that designs, develops, documents, tests, and integrates the IBCS application software using Agile processes that include full participation of the customer in all aspects of development. This development includes the operating systems and networking environment that enables deployment of the IBCS application software. You and your team will ensure compliance to the Scaled Agile Framework (SAFe) and Northrop Grumman software development practices, and develop and implement a metrics-based performance measurement approach. You will also be responsible for customer interface and driving organic growth of the team.
**Basic Qualifications:**
Bachelor's degree with 8 years of program management experience or OR Master's with 6 years experience.
Demonstrated customer interface skills
Demonstrated large-team organizational and communication skills
Demonstrated executive communication, presentation, and collaboration skills
Experience in program-level financial management and reporting (Earned Value Management, Control Account Management, Risk Management)
Understanding of organizational financial management and reporting (Annual Operating Plan)
Experience creating resource-loaded software development roadmaps that reflect customer priorities
and align with customer schedules and deployment plans
Resource planning and staffing to plan
Comprehensive understanding of software development within an Agile Environment
Active Secret clearance
**Preferred Qualifications:**
10 years of program management experience
Proposal development experience
SAFe Agile experience and training
Understanding of enterprise-class operating system
and network deployment
Understanding of DevSecOps and Continuous Integration/Continuous Deployment (CI/CD) concepts
Top Secret clearance
**Salary Range:** $172,500 - $258,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Microelectronics Product Engineer2024-03-15T06:15:18-04:00https://northropgrumman.jobs/F19EEAEAD49C4584A270AFD8826B6E0C26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Advanced Concepts and Technologies group of Northrop Grumman Mission Systems is seeking a Principal Microelectronics Product Engineer for our Advanced Technology Lab (ATL) - located outside of Baltimore, Maryland - where we design, manufacture, and test semiconductor products for internal and commercial production customers as well as emerging technology programs. Northrop Grumman's ATL semiconductor foundry is a unique capability supporting a range of production microelectronic devices (Silicon, Gallium Arsenide, Gallium Nitride, Silicon Carbide, and Carbon Nanotubes) and providing leading-edge technology development in superconducting electronics. Our devices enable several Northrop Grumman's ground-based radars, avionic radars, and space systems. Join us for the chance to work with an amazing, experienced, and talented team while helping serve your country.
The qualified candidate will have a general knowledge of semiconductor manufacturing and experience with Front End of Line (FEOL) and Back End of Line (BEOL) device integration. The candidate will join a production team working closely with multiple ATL programs and area managers to achieve on-time delivery of products. As a Product Engineer, you will interface with both peers and representatives from design, assembly, test, inspection, product integration, and program management. You will work to resolve issues, identify areas for improvement, and coordinate efforts to drive them to completion.
Specific responsibilities for this position include maintaining and refining specifications, documentation, and process flows for products derived from a variety of CMOS, HBT, and compound semiconductor technologies. Additional responsibilities include production support engineering for testing methods, assembly, inspection flows, quality, product specifications, and yield improvements. #NGATL
**Basic Qualifications:**
+ Must have a Master's Degree in Electrical Engineering or related discipline and 3 years of experience, or a Bachelors Degree in Electrical Engineering or related discipline and 5 years of related experience
+ Must have general understanding of semiconductor processing
+ Must have experience with semiconductor test and measurement techniques
+ Must have general MS Office suite experience
**Preferred Qualifications:**
+ Solid State Physics or other relevant engineering physical science disciplines
+ Background in semiconductor test and measurement techniques
+ Experienced with semiconductor qualification and reliability mechanisms
+ Yield enhancement or manufacturing experience, including Six Sigma disciplines
+ Understanding of analog, digital, and RF device test and characterization techniques
+ Experienced with JMP, Python, Tableau, or other data analysis software
**Salary Range:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Goleta) Manufacturing Technician 2 (2nd Shift)2024-03-15T06:15:17-04:00https://northropgrumman.jobs/A3B8A4A80FD847F291AC00060E873FA126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
An organization within Northrop Grumman's Payload and Ground Systems (PGS) division, Mission Enabling Products (MEP) is a leading designer, producer, and supplier of spacecraft components that power and enable satellites of all classes. Products include spacecraft panels, bus structures, precision optical structures, deployable structural systems and mechanisms, solar arrays, and antenna reflectors. Our products are on virtually every U.S. satellite built in the last 20 years.
Learn more about Spacecraft Components at our website: https://www.northropgrumman.com/space/spacecraft-components/ .
The Payload and Ground Systems business sector is seeking a qualified **Manufacturing Technician** to assist with operations in general manufacturing to solder and assemble precision electro-mechanical products such as gyros and gear train mechanisms. This position is based out of the Goleta, CA site.
**Primary Responsibilities:**
+ Performs a variety of duties relating to the fabrication or assembly of mechanical components for larger assemblies.
+ Sets up and tests complete prototype units and subassemblies under operational conditions. Analyzes data and recommends modifications to components or test procedure to meet desired specifications.
+ May assist mechanical engineers in the development of mechanical and electromechanical engineering designs, tests, fabrications of assemblies and components.
+ Performs functions associated with all manufacturing operations, including working with engineers in set-up and calibration tasks, as well as performing rework and quality testing related to the production of parts, components, sub-assemblies, and final assemblies.
+ Uses sophisticated programs to collect and evaluate operating data to conduct on-line adjustments to products, instruments, or equipment.
+ Determines and assists in developing methods and procedures to control or modify the manufacturing process.
+ Handling and managing fragile composite materials.
+ Notate usage, traceability, pot life, and out time controls on all adhesive materials used.
+ Report data and interface with other employees and departments
+ Reading and understanding manufacturing blueprints and shop planning
+ Assist in maintaining overall shop appearance and cleanliness.
**Basic Qualifications for a Level 2:**
+ Must be a US Citizen
+ High school diploma or GED and at least 2 years of additional education and/or related experience
+ Ability to read, write and work to instructions written in English.
+ Ability to lift/push/pull up to 40 pounds
+ Ability to be on feet for up to various hours each day
+ Ability to work flexible hours, overtime, and different shifts based on demanding manufacturing schedules
+ Initiative, self-starter, adaptable, and high motivation for excellence
+ Ability to navigate and operate within a Microsoft Office Environment (Word, PowerPoint and Excel)
+ Demonstrated Mechanical Ability
+ Ability read and follow directions on engineering drawings and/or schematics
+ Familiarity with the proper hand tool technique and safety (wrenches, screwdrivers, etc
+ Familiarity with the concept of Torque
+ Must be willing and able to train on 1st shift (6am - 3:30pm) for the first 3-6 months before transitioning to 2nd shift
**Preferred Qualifications:**
+ Proficient use of electronic test equipment such as DC power supplies, Digital Multimeters, and Source Meters.
+ Proficient use of basic shop arithmetic
+ Experience or familiarity with ISO requirements, standards, and compliance issues
+ Experience with cranes and rigging, forklifts, and similar manufacturing equipment
**Salary Range:** $48,600 - $81,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) Non-Destructive Test Technician 12024-03-15T06:15:16-04:00https://northropgrumman.jobs/1C58E46C97D746B4BF34A7F81A5BC33226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Description**
Northrop Grumman Aeronautics Systems (NGAS) is looking for you to join our team as a Non-Destructive Test (NDT) Technician 1 based out of Clearfield, UT.
This job performs non-destructive tests (NDT) on aircraft engines or components. Inspects composite materials, parts and assemblies for defects using automated and manual methods with ultrasound techniques. Requires certifications in UT Non-Destructive testing in accordance with regulations.
**Basic Qualifications:**
+ High School Diploma or equivalent GED (education will be verified)
+ Ultrasonic Level 1 Certification (Required)
+ Ability to work flexible/changing schedules including weekends
+ Ability to work in a fast paced dynamic environment as part of a multi-disciplined team.
+ Experience/Ability to interpret rulers, digital gauges and calipers
+ Ability to obtain and maintain a DoD Secret Clearance
**Preferred Qualifications:**
+ Experience and familiarity with inspection/interpretation engineering requirements of composite parts/materials.
+ Experience with Hand Held Pulse Echo Units (USM GO, USN 60)
+ Proficiency in Microsoft Office applications
+ Must be capable of conducting NDT for the acceptance of parts and document the results
+ Strong interpersonal skills with the ability to work with a team
+ Excellent communication skills are required
+ Ability to multi-task
+ Ability to prioritize and manage time effectively
+ Ability to perform moderate strenuous physical and repetitious work to include: bending, stooping, kneeling, carrying tools, lifting up to 50lbs.
+ Perform physical requirements of the position, including handling/positioning parts in the Ultrasonic inspection tanks to perform Ultrasonic inspections
+ DoD Secret Clearance
+ Experience working with Automated Ultrasonic Inspection Systems
+ Experience in Composite Manufacturing/High Output Production
**Salary Range:** $37,700 - $62,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-OK-Oklahoma City) Manager of Project Management 3 (Chief of Staff)2024-03-15T06:15:16-04:00https://northropgrumman.jobs/208470244C60458890273D1CB0A606A526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Manager of Project Management 3 (Chief of Staff) for the Strike & Surveillance Aircraft Solutions (SSAS) Business Unit (BU) reports directly to the BU Vice President; serving as Chief of Staff, ensuring all aspects of BU operations are effective and efficient. The selectee will be a member of the BU Leadership Team and work directly with the BU VP, the BU Leadership team, and with members of the Division Leadership Team and staff to organize and facilitate day-to-day operational aspects of the BU. The Manager of Project Management 3 (Chief of Staff) also organizes and oversees execution of staff/leadership meetings, periodic senior leader business reviews, Town Halls, leadership forums, site visits, project reviews, customer visits and international trips. This position is onsite in Oklahoma City, OK.
**Duties and responsibilities include:**
+ Integrate day-to-day operational objectives to meet the BU's financial and non-financial goals and assigned Sector Operating Objectives (SOOs)
+ Identify BU focus areas for process improvement/streamlining and development and execution of operational initiatives Support the development and execution of the BU's Annual Operating Plan and Long Range Strategic Plan (AOP/LRSP) to include the planning of resources to achieve the plans
+ Prepare BU VP for all senior level internal meetings and customer engagements with relevant information/materials such as talking points and relevant background information
+ Set BUs business rhythms and coordinate the development, review and periodic reporting of applicable BU operating objectives, and non-financial metrics
+ Ensure deliverables required by Division & Sector level business rhythms are coordinated among the BU staff and delivered timely
+ Serve as a trusted advisor to the BU VP and the BU leadership team
+ Drive execution of strategic initiatives and tasks initiated by the BU VP by working with cross-functional teams and business leaders across the organization
+ Act as a focal point for communication and collaboration among BU functional leaders and operations
+ Anticipate and proactively manage the materials, data and presentation needs for upcoming meetings and events
+ Serve as a BU representative and action owner for BU level operations councils and boards
+ Organize and implement employee engagement activities such as BU VP Town Halls, Leader Site Visits, and Leadership Forums
+ Lead internal special projects as requested by the BU VP, to include activities such as: establishing and leading a cross functional team, developing and monitoring objectives, briefing senior leaders, and facilitating broader communication across BU employees as appropriate.
**Basic Qualifications:**
+ Must have a minimum of a Bachelor's Degree with 12 plusyears experience, will also consider a Master's degree with 10 plus years experience
+ Must be able to operate very effectively in an ambiguous environment; identify and proactively determine the best ways to address issues or tasks without specific direction; possess a strategic mindset; identify areas for improvement within the BU and bridge gaps between functions to drive implementation of improvements in identified need areas
+ Successful candidate must think ahead to anticipate potential challenges; ensuring they are addressed in advance or providing recommended options to leadership when necessary. Must collaborate well with the BU Leadership Team and possess the ability to influence without direct authority to produce results/outcomes
+ Ability to prioritize and complete multiple tasks and projects within critical deadlines
+ Strong data analytic capability and attention to detail and accuracy
+ Excellent organizational, verbal, and written communication skills, as well as project management, analytical, planning, and presentation skills are required
+ Demonstrated ability to build and foster effective relationships with others, including ability to interact effectively with senior level management
+ Demonstrated ability to respect confidentiality and safeguard sensitive information
+ Demonstrated ability to understand detailed program financial performance; strong problem solving and analytical skills
+ Ability to make recommendations and provide guidance to effectively bring projects to completion
+ Highly advanced Microsoft Excel, PowerPoint, and SharePoint skills
+ Comprehensive knowledge of company and sector operations, policies, procedures and command media.
+ Ability to travel a minimum of 25% domestically
+ Must have the ability to obtain and maintain a Secret clearance
**Preferred Qualifications:**
+ MS or MBA degree in a technical, business or related field
+ PMP or similar certification
+ Strong understanding of Northrop Grumman culture and demonstrated success effectively working within company's organization, processes, and procedures
**Salary Range:** $122,200 - $183,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-AZ-Gilbert) Supply Chain Subcontract Specialist 3/4- Gilbert AZ or Dulles VA2024-03-15T06:15:16-04:00https://northropgrumman.jobs/F13633F766BE41329742B7933EBE01EB26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems Sector is seeking a Principal Supply Chain Subcontracts Specialist or a Senior Principal Supply Chain Subcontracts Specialist for our Tactical Space Systems Division; the hired person will be responsible for Subcontract Management in the Radio Frequency (RF), Command and Data Handling (C&DH) and Guidance Navigation Control (GNC) categories. The person hired will procure and manage medium to high value and risk subcontracts and general procurement, including long term agreements and OA's. Prepare bids, evaluate bids, select suppliers and negotiate price, terms and conditions, delivery, quality and service. Manage supplier performance, along with Supplier Performance Specialist, from issuance of order through close-out. Interface with Supply Chain Management, Program Planners, Quality, Receiving and Program Management and Supplier's Management team. May mentor junior staff members.
This is a hybrid position but must be located in/near Gilbert, AZ or Dulles, VA.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Job Responsibilities:**
+ Review Purchase Requisitions for completeness and obtain additional information considered necessary.
+ Prepare Request for Quotation (RFQ)/Request for Proposal (RFP) and identify sources eligible to receive RFQ/RFP for complex and/or non-routine procurement requirements.
+ Evaluate suppliers' quotes/proposals for compliance with RFQ/RFP and fact find suppliers' proposals.
+ Co-lead in the source selection team for competitive procurements.
+ Perform a cost and/or price analysis to determine price reasonableness. - Negotiate with suppliers on price, schedule, and terms and conditions, and any other contractual requirements. May lead negotiations with supplier.
+ Make subcontract awards to suppliers and document the subcontract folders in accordance with the local procurement policies and procedures, including long term agreements.
+ Manage supplier performance, along with Supplier Performance Specialist, during subcontract execution, including, but not limited to, managing supplier delivery schedule, processing invoices for payment, managing Subcontract submittals (e.g. SDRL), and/or resolve any contractual issues. - Perform subcontract close-outs in accordance with the local procurement policies and procedures.
+ Mentor other subcontract professionals, as appropriate.
This role may be filled at as a Principal Supply Chain Subcontracts Specialist (Level 3) or Sr. Principal Supply Chain Subcontracts Specialist (Level 4) based on the qualifications outlined below.
**Basic Qualifications for Level 3:**
+ A bachelor's degree and at least 6 years of contracts, supply chain, procurement, or related business experience - OR - a master's degree and at least 4 years of supply chain, procurement, or related business experience. May consider 4 additional years of experience in lieu of a degree.
+ Knowledge of government acquisition process with a strong knowledge of Federal Acquisition Regulation (FAR) and agency supplements, such as Defense Federal Acquisition Regulations (DFARS), NASA (NFS).
+ Microsoft Office Suite (Word, Excel, Powerpoint).
**Basic Qualifications Level 4:**
+ A bachelor's degree and at least 10 years of contracts, supply chain, procurement, or related business experience -OR- a master's degree and at least 8 years of contracts, supply chain, procurement, or related business experience. May consider an additional 4 years of experience in lieu of the degree.
+ Knowledge of the government acquisition process with a strong knowledge of Federal Acquisition Regulation (FAR) and agency supplements, such as Defense Federal Acquisition Regulations (DFARS), NASA (NFS).
+ Microsoft Office Suite (Word, Excel, Powerpoint).
**Preferred Qualification:**
+ Experience with Deltek Costpoint.
+ Self-Starter with problem solving skills.
+ Ability to mentor other subcontract professionals of varying levels of experience.
**Salary Range:** $76,600 - $133,100
**Salary Range 2:** $95,000 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Salt Lake City) Inspector 32024-03-15T06:15:15-04:00https://northropgrumman.jobs/5030B191FB86456BB15C76C6C4BD2DB726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today. Northrop Grumman Mission Systems is seeking an **Inspector 3** to join our team in **Salt Lake City, UT** .
**Roles and Responsibilities:**
+ Uses predetermined methods, operations, setups and prescribed specifications to inspect visually in-process and completed products such as electronic units and subsystems, precision electromechanical assemblies or mechanical units, subassemblies, structural flaws, internal defects, and missing welds.
+ Uses various measuring devices.
+ Accepts, rejects, or reworks defective or malfunctioning units or systems.
+ Works from blueprints, diagrams, dial indicators, preset micrometers, scales, fixtures, customer specifications, drawing or inspection instructions and checklists.
+ May monitor and verify quality in accordance with statistical process or other control procedures.
+ Performs line clearances after each lot to ensure all materials from the previous lot have been removed.
**Basic Qualifications:**
+ High School Diploma/GED with 4 years of education and/or related experience.
+ Must be able to work and communicate in a team environment.
+ Must have critical thinking and problem-solving skillset.
+ Basic knowledge of Microsoft Office.
+ Knowledgeable of using measuring devices.
+ Knowledgeable of quality management standards.
**Preferred Qualifications:**
+ Knowledgeable of AS 9100, ISO 9000, and ISO 9001.
+ Experience reading blueprints.
+ SAP experience.
**Salary Range:** $45,800 - $76,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Sr Principal Proposal Analyst (Security Clearance REQUIRED)2024-03-14T06:15:50-04:00https://northropgrumman.jobs/DDA50DDC317C479F94C3A35C7D87D0F426At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
We are looking for you to join our team as a **Senior Principal Pricing Analyst.** This position will be staffed out of our **Baltimore, Md** office and is not open to a full time remote employee.
**What You'll Get to Do:**
In this role, the selected candidate will be responsible for, but not limited to:
+ Develops, analyzes, evaluates, coordinates, prepares, and supports cost proposals to government and commercial customers.
+ Reviews Requests for Proposals (RFP) and proposal documents for compliance to customer specifications/requirements and adherence to company policy
+ Ensures Northrop Grumman Estimating System compliance and provides interpretation of RFP requirements.
+ Interacts with personnel and management at all levels, interfaces and coordinates with other business management personnel on a daily basis.
+ Provides reviews of all estimates prior to delivery and review by senior management
+ Prepares and presents costs estimates to management, customer representatives and government audit agencies.
+ Ensures adherence to Federal Acquisition Regulations (FAR), Truth in Negotiations Act (TINA), and Northrop Grumman policies and procedures with regard to cost proposal preparation, review, compliance, submission, updates/revisions, and negotiations.
+ Supports audit requests, fact-finding, and negotiation discussions and the program budget baseline process.
+ Supports various departmental business management & operations functions.
+ Creates/administers pricing databases and coordinates implementation of pricing proposals across the organization.
+ Ensures FAR/DFAR compliance of proposals and supports proposals through the NAR/ICE and C101 corporate approval process.
+ Supports the front-end business acquisition process by developing proposals.
+ Be the point of contact of all government audit inquiries, and work with staff to ensure timely responses and documenting RFIs.
**Basic Qualifications:**
+ (A04)Bachelor's Degree in Business Management, Accounting, Finance or related and 10 years of experience in government contracts, subcontracts, estimating and pricing, finance, or business administration in a (defense/aerospace) manufacturing environment. or - 8 years with a Master's Degree. We will consider 14 years of relevant experience in lieu of a degree .
+ Active DOD Secret Clearance
+ Working Knowledge of FAR, DFAR, CAS, TINA and other Government procurement regulations as they pertain to bid/costing proposals.
+ Experience working with Basis of Estimate (BOE) and analyzing BOE quality.
+ Proficient in using Pro-Pricer.
+ Experience with supplier negotiations and associated procurement documentation.
+ Experience in bidding to different contract types (FFP, CPFF, CPIF, CPAF, T&M).
+ Ability to work both independently and in a dynamic team environment.
+ General knowledge and competence in the concepts, principles and standard practices in costing and pricing.
+ Experience and understanding of statistical analysis and limitations thereof (sample size implications, statistical power, forecast error, etc.)
+ Proficiency in MS Office including Excel, Word, PowerPoint
+ Knowledge of FAR, DFARs, CAS and TINA.
+ Excellent verbal and written communication skills to accurately document, report, and present findings
+ Strong Analytical, problem solving, math skills.
+ Good collaboration skills with proven ability to perform multiple tasks efficiently and accurately to meet deadlines.
+ Comprehension of the FAR Part 15 and 31.
+ Ability to prioritize and stay organized within a fast-paced environment.
+ Ability to work independently and in a cross functional team environment.
+ US Citizenship.
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $104,900 - $157,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Dulles) Classified Cybersecurity Analyst2024-03-14T06:15:49-04:00https://northropgrumman.jobs/487758449E774859BD986D37C60BDDDC26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Information Systems Security Professionals, We Want You!**
Cyber assets everywhere are under siege from a wide spectrum of threats. Almost daily, these threats grow in sophistication, breadth and speed.
At Northrop Grumman, we take a holistic approach to cybersecurity, looking at the whole cyber landscape of people, processes and technology and the whole security realm of offense, defense, and exploitation. Thought leadership demands nothing less.
If you are an expert with classified computers within the Department of Defense (DoD) and Intelligence Community computing environments, Northrop Grumman Corporation has fantastic opportunities for your career growth.
We are seeking novice level **Information Systems Security Professionals** across the country to support information systems lifecycle activities.
Responsibilities will include (but not limited to):
+ Perform assessments of systems and networks within the networking environment or enclave and identify where those systems and networks deviate from acceptable configurations, enclave policy, or local policy.
+ Establish strict program control processes to ensure mitigation of risks and supports obtaining certification and accreditation of systems. Includes support of process, analysis, coordination, security certification test, security documentation, as well as investigations, software research, hardware introduction and release, emerging technology research inspections and periodic audits.
+ Assist in the implementation of the required government policy, make recommendations on process tailoring, participate in and document process activities.
+ Perform analyses to validate established security requirements and to recommend additional security requirements and safeguards.
+ Support the formal Security Test and Evaluation (ST&E) required by each government accrediting authority through pre-test preparations, participation in the tests, analysis of the results and preparation of required reports.
+ Document the results of Certification and Accreditation activities and technical or coordination activity and prepare the system Security Plans and update the Plan of Actions and Milestones POA&M.
+ Periodically conduct a complete review of each system's audits and monitor corrective actions until all actions are closed.
+ Familiarity with RMF is desired.
If you are ready to solve complex problems in a dynamic environment, apply today!
**Note** : Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
**Basic Qualifications:**
+ Master's degree with 0 years of experience; OR a Bachelor's degree with 2 years of experience; OR an Associate's degree with 4 years of experience; OR a High School Diploma/GED with 6 years of experience is required
+ Must have a current DoD 8570 **IAM level I** (or higher) security certification(Examples: CND, Cloud+, Security+ CE)
+ Candidates must have a current DOD **Top** **Secret/SCI** levelsecurity clearance (at a minimum), based on a closed investigation date completed within the last 6 years OR must be enrolled in the DOD Continuous Evaluation (CE) Program, in order to be considered
+ Must have the ability to obtain, and maintain, access to Special Programs as condition of continued employment
**Preferred Qualifications:**
+ The ideal candidate will have a Bachelor's degree in Cyber Security, a Security+ CE, and 3 years of experience with Certification & Accreditation of classified systems under RMF (Risk Management Frameworks)
+ Knowledge of ACAS, NESSUS, SPLUNK, SCAP, POA&Ms, NIST, JSIG, system audits, vulnerability scanning, and/or RMF package development preferred
+ Active TS/SCI with SAP/SAR access
**Salary Range:** $87,200 - $130,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Fort Meade) Principal Cyber Software Engineer (SB)2024-03-14T06:15:49-04:00https://northropgrumman.jobs/6D0BA8FD46124824B250314F149D706226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Job Description
Northrop Grumman is hiring! Earn up to a $20,000 sign on bonus if you are hired against specific TS/SCI Polygraph positions.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators, who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we will support yours of expanding your personal network and developing skills, whether you are new to the field or an industry thought-leader. At Northrop Grumman, you will have the resources, support, and team to do some of the best work of your career.
The Sensing & Secured Solutions Teams are hiring! We are seeking an experienced Principal Java Developer to work as a member of an Agile team developing a high-visibility, mission critical component of a large system. The new team member will be working with DevOps, containerization, deployment to and operation on Linux, API development, requirements development, and model-based systems engineering.
The Principal Java Developer will develop, maintain, and enhance complex and diverse software systems (e.g. processing-intensive analytics, novel algorithm development, manipulation of extremely large data sets, real-time systems, and business management information systems) based upon documented requirements. This person will be responsible for working individually or as part of a team to develop and test software components for adherence to the design requirements. The team is seeking a talented engineer who resolves software problem reports, utilizes software development and software design methodologies appropriate to the development and software design methodologies appropriate to the development environment. The successful candidate shall have experience with creating specific input to the software components of system design to include hardware/software tradeoffs, software reuse, use of Commercial Off-the-Shelf (COTS)/ Government Off-the Shelf (GOTS) in place of new development, and requirements analysis and synthesis from system level to individual software components.
Basic Qualifications:
- **A current and active TS/SCI Clearance with recent (less than 5 years ago)Polygraph is required.**
- 7 years of experience as a Software Engineer in programs and contracts of similar scope, type, and complexity with a bachelor's degree in Computer Science or related discipline from an accredited college or university. - 11 years of experience as a SWE in programs and contracts of similar scope, type, and complexity with no degree can also be accepted.
- An ability to develop, maintain, and enhance complex and diverse software systems.
- This position requires past experience in Java Software Development
Preferred Qualifications:
- An ability to analyze user requirements to derive software design and performance requirements
- An ability to design and code new software or modify existing software to add new features
- Experience with debugging existing software and correcting defects
- An ability to integrate existing software into new or modified systems or operating environments
- Experience with developing simple data queries for existing or proposed databases or data repositories
- Assisting with developing and executing test procedures for software components
**Salary Range:** $165,000 - $247,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-GA-Warner Robins) Electronics Engineer/Principal Electronics Engineer2024-03-14T06:15:48-04:00https://northropgrumman.jobs/207C87E0DDBF4D67807427EFF52BCD1726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Mission System Field Engineering is seeking an** **Electronics Engineers** **with experience in digital testing to join our F-35 Electro-Optical Distributed Aperture System**
**(EODAS) Sustainment Support team. We are looking for individuals who are passionate about monitoring and maintaining product technical performance. The Engineer will work at the F35 Warner Robins Depot at Warner Robins, GA, and will support the testing, troubleshooting and repair of EODAS hardware. Activities will range from hands-on testing; to analysis of failure trends, root cause/corrective actions; and attending program meetings to report yields, problems, and corrective actions. Initial training/product familiarization will take place in Baltimore MD and Rolling Meadows IL NGC facilities on a temporary basis prior to relocating long term to Warner Robins, GA. The length of the temporary assignment will be determined by previous experience and ability to quickly adapt that experience to EODAS equipment and processes. The Engineer must have effective verbal and written communication, and the ability to work in a team environment. In addition, the on-site engineer will provide engineering technical support to resolve customer issues, and work in collaboration with Rolling Meadows and BWI EODAS team as needed to resolve customer issues, provide status report to technical and management team.**
**Roles and Responsibilities:**
**The Electronics Engineer/ Principal Electronics Engineer will:**
+ **Direct customer (Prime and USG) daily interface**
+ **Support and provide on-the-job training for technicians.**
+ **Troubleshoot and support sensor hardware while working with customer technicians.**
+ **Analyze test data and identify early trends.**
+ **Compile data and recommend changes required in testing equipment, testing procedures, or new testing requirements to NG Engineering**
+ **Collaborate with engineering to develop and implement hardware improvements and solutions.**
+ **Support technicians through troubleshoot and training.**
+ **Collaborate with local customer and with NG engineering in developing root cause and corrective actions.**
+ **Attend program meetings to report yield issues, yield detractor investigation finding, and corrective actions.**
+ **Relocation funding to Warner Robins Georgia may be available.**
**The right candidate will have analytical skills to review requirements, test plans, and test scenarios; and the ability to effectively communicate across teams. He/she should also be self-directed, organized, team oriented and have previous experience with MS Office tools.**
**Basic Qualifications for Electronics Engineer:**
+ **Bachelor's degree or higher in Electrical Engineering, Computer Engineering, and 2 years of related experience; 0 years' experience with a master's degree.**
+ **Experience with test equipment (scopes, power meter, spectrum analyzer, signal generator)**
+ **Familiarity with basic concepts of circuit design and troubleshooting**
+ **Active US Secret clearance is required.**
**Basic Qualifications for Principal Electronics Engineer:**
+ **Bachelor's degree or higher in Electrical Engineering, Computer Engineering, and 5 years of related experience; 3 years' experience with a master's degree.**
+ **Experience with test equipment (scopes, power meter, spectrum analyzer, signal generator)**
+ **Experience with concepts of circuit design and troubleshooting.**
+ **Active US Secret clearance in the past 5 years is required.**
**Preferred Qualifications:**
+ **Knowledge of electro-optics and laser technology**
+ **Circuit card assembly (CCA) level test experience**
+ **Experience with automated testing is desired, but not required**
+ **Active US Secret Level security clearance**
**Salary Range:** $75,300 - $112,900
**Salary Range 2:** $92,600 - $139,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Corinne) Principal/Sr. Principal Occupational Safety Engineer (EHS)2024-03-14T06:15:48-04:00https://northropgrumman.jobs/4A72D8C730C3458EAF884E73C4A20B8E26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
Northrop Grumman Space Systems - Propulsion Systems Division is currently seeking a **Principal/Sr. Principal Environmental Health & Safety Engineer** to join our **Industrial Safety / Industrial Hygiene** team in our **Promontory Utah facility.**
**Role description & Responsibilities:**
Performance of Industrial Hygiene / Safety Engineering functions associated with design, fabrication, and testing of materials/components used in the production of Aerospace hardware. At these facilities the selected individual will be involved in a wide array of energetic material manufacturing processes including solid rocket manufacturing, laboratories, research and development, and functional testing.
+ Promote and maintain a high level of safety consciousness among employees to instill a safety culture in the workforce
+ Work with internal and external customers including Navy, NASA, and others to ensure compliance with federal, state, and local rules and regulations related to safety and health
+ Perform worksite audits, inspections, and evaluations on the operating floor
+ Assist in design reviews for new and modified facilities, processes, equipment, and tooling for safety-regulated compliance to help ensure the safety of the employees and the process
+ Provide guidance to operations, maintenance, and manufacturing engineering groups on machine safety controls, guarding, and safe operating procedures.
+ Assist in accident/incident investigation to help determine root cause and corrective actions
+ Perform monitoring for potential health hazards in the workplace including: Chemical, Particulate, Noise, Ventilation, Heat Stress, Ergonomic, etc. Perform Industrial Hygiene assessments for new chemicals (e.g., determine appropriate precautions and PPE requirements, etc.)
+ Assist in authoring and classifying chemical Safety Data Sheets (SDS) to meet the requirements of the new OSHA Hazard Communication Standard, including elements of the Globally Harmonized System for Classification and Labeling of Chemicals (GHS)
+ Provide written reports and documentation to management with recommendations to proactively eliminate or reduce workplace hazards. Assist in implementation of actions given
+ Assist in safety related training courses. This may be preparation of web based training, or performing instructor led training
**Requirements:**
+ Occasional off-shift and on-call support is required.
+ Must be a US Citizen
+ Bachelor's degree in industrial safety, Industrial Hygiene, or a technical science field required
**Education/Certifications/Experience**
+ BS in Industrial Safety, Industrial Hygiene, or a technical field
+ 5 years' experience with a BS, 3 years with a MS or 0 with a Ph.D.
+ Position can be filled one level higher, 9 years with a BS, 7 years with MS or 4 years with a Ph.D.
+ Technical Skills: Microsoft Office proficiency required (Word, Excel, PowerPoint), Microsoft SharePoint is a plus
+ Excellent mathematical, problem solving, written and verbal communications skills
+ Experience in a Safety position within a manufacturing environment highly preferred
**Salary Range:** $92,600 - $139,000
**Salary Range 2:** $114,000 - $172,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Senior Principal Advanced Technology Lab (ATL) Special Projects Team (SPT) Engineer2024-03-14T06:15:48-04:00https://northropgrumman.jobs/7149EB3C7527405497C9D1BA45AEA79226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Northrop Grumman Microelectronics Center (NGMC) of Northrop Grumman Mission Systems is seeking an exceptionally talented, motivated, and creative **Advanced Technology Lab (ATL) Special Projects Team (SPT) Engineer** who will be supporting technical and project management activities associated with foundry change management and high-visibility projects. This position is located at our ATL - located outside of Baltimore, Maryland - where we design, manufacture, and test semiconductor products for internal and commercial production customers as well as emerging technology programs. Northrop Grumman's ATL semiconductor foundry is a unique capability supporting a range of production microelectronic devices (Silicon, Gallium Arsenide, Gallium Nitride, and Silicon Carbide) and providing leading edge technology development in Superconducting Electronics and Super-Lattice Castellated Field-Effect Transistors (SLCFET). Our devices enable a number of Northrop Grumman's ground-based radars, airborne radars, and space systems.
Join us for the chance to work with an amazing, experienced, and talented team while helping serve your country. Enjoy the opportunity to grow and learn with a variety of challenging projects in R&D, ongoing long-term programs, and new programs targeting future military platforms. Our multidisciplinary foundry team enables activities from development to sustainment engineering. ATL is responsible for all aspects of semiconductor technology including design, mask making, wafer fabrication, test, and assembly. The candidate must be a strong team participant, have excellent communication skills, and be resourceful and multi-talented. A strong understanding of semiconductor technology and microelectronic process engineering is essential.
**Job Summary:**
The position of **ATL Special Projects Team (SPT) Engineer** reports directly to the Director of ATL.
The position will be an Individual Contributor supporting change management within the ATL Organization. The Engineer will be part of the Special Projects Team and will initiate, prioritize, advance, and close projects enabling faster cycle time and improved quality related to high-visibility products and programs within the ATL organization. A successful candidate should be very familiar with Best Known Practices of the semiconductor industry, be able to task switch rapidly and work successfully in a team environment. A successful candidate should also be comfortable with changing project priorities and have strong stakeholder management skills. In this role, the Engineer will be initiating, kicking off, contributing, supporting and monitoring multiple projects at the same time, almost always in a collaborative team environment. This position is a full-time on-site position; remote work is not a possibility.
\#NGATL
**Basic Qualifications:**
+ Bachelor's degree in Engineering, Computer Science, or Business with 9 years related experience in operations, manufacturing, engineering, and/or program management (13 yrs of exp will be accepted in lieu of degree)
+ 5 years of experience in the Semiconductor Industry
+ 5 years work with Industrial Engineering practices, tools and principles
+ Comfortable reviewing, analyzing, manipulating and forming conclusions from complex datasets
+ Proven skills/experience building and supporting high-performing cross-functional teams and culture to achieve operational excellence and meet the expectations of internal/external stakeholders/customers
+ Ability to prioritize and multi-task when there are competing demands on you
+ Ability to formulate, communicate and execute complex project plans and initiatives by leveraging earned, informal authority
+ Strong business and financial acumen
+ Excellent interpersonal and critical thinking skills. Excellent verbal, written, and presentation skills with the ability to effectively communicate with all levels of leadership, shop floor employees, and support function employees
+ Advanced knowledge of MS Office (Word, Excel, PowerPoint, SharePoint)
+ Advanced knowledge of Atlassian Tools (JIRA, Confluence)
+ Commitment to inclusive and positive employee relations, ethics, and compliance
+ Currently Top Secret (TS) with SCI clearance
+ US Citizenship required
**Preferred Qualifications:**
+ 14 years of relevant experience including prior experience with semiconductor equipment engineering, working at a semiconductor equipment vendor, device fabrication and/or test/assembly facilities
+ Successfully led complex initiatives within the semiconductor industry
+ Advanced data analysis experience (JMP, R, Python, etc.)
+ Master's degree in Engineering, Computer Science, or Business
**Salary Range:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Edwards AFB) Flight Test Engineer2024-03-14T06:15:48-04:00https://northropgrumman.jobs/E704D4C0E78C4E6D9EB20F509134359F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems has an opening for a Flight Test Engineer to join our team of qualified, diverse individuals within our Test and Evaluation organization.**
The position will be in Edwards AFB.
+ The candidate will develop and publish Integrated Test Plans, detailed test plans, test schedules, and test procedures for developmental testing.
+ Provide laboratory support for integration testing.
+ Support and host test planning working groups.
+ Interface with test article design and analysis teams to define test configuration and data requirements.
+ Review and evaluate test requirements to ensure completeness of test program.
+ Perform technical analysis of complete systems and prepares comprehensive system level evaluations.
+ Support test card development and review in collaboration with other engineering disciplines and test operations personnel.
+ Support test readiness reviews, and pre/post-test briefings.
+ Provide mission control room support and makes real-time decisions regarding safety, efficiency, and effectiveness.
+ Conduct and contributes to pre and post-test data analyses to support anomaly identification and resolution. Maintains metrics on status of testing and constraints.
+ This position requires outstanding written and oral communications skills. Individual will be required to serve as either a principal subject matter focal point between maintenance, design engineering, and test site team - contractor and customer.
+ Individual must be able to work under only general direction to determine and develop approaches to solutions; must be able to work both within a team environment and independently; must be self-motivated, thorough, and detailed; and must be willing to learn additional engineering discipline areas.
+ Proficient in Microsoft Office and Integrated Analysis & Data System (IADS)
+ Overtime, odd shifts, and weekend work will occasionally be required.
**Basic Qualification:**
**Basic Qualifications for Flight Test Engineer :**
+ A bachelor's in science, Technology, Engineering or Math (STEM Degree).
+ A minimum of 2 years of applicable experience with a BS Degree or 03 years with a MS degree in STEM Field
+ This position requires ability to obtain and maintain a DoD Secret or Top-Secret clearance as well as the ability to obtain and maintain a Special Program Access clearance.
+ Flight Test Experience
**Preferred Qualifications:**
+ In depth knowledge of or test experience, to include control room monitoring.
+ Top Secret clearance
+ Experience with guidance, navigation and control or flying qualities experience in a control room environment.
**Salary Range:** $79,300 - $118,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Sentinel (GBSD) Sentinel AVE GN&C Systems Engineering Manager 2 - FSS (12621)2024-03-14T06:15:47-04:00https://northropgrumman.jobs/88F963AD474E4F74AC67A67073F9AE7D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **Sentinel AVE GN&C Systems Engineering Manager 2** . This position is located in **Roy, Utah** and supports the Sentinel program within the Strategic Deterrent Systems Division.
**What You'll Get To Do**
Responsible for daily operations of a team or work unit (direct supervision of the staff, assignment of work, schedules, day to day workflow, and operating costs). Program Manager Responsibilities include cost, schedule, and technical performance of a specific unit or work package on a large system development-type contract or broad responsibility for all aspects of program performance on a delivery order or small technical services-type contract.
+ Manage the development and delivery of CDR artifacts for L3 CIs owned by GN&C IPT.
+ Manage and track progress on team for sub-IPT tasking. Prioritize and organize work for team of roughly 8-10 engineers. Report regularly progress and establish metrics to measure performance of team. Provide direct intervention when and where needed to keep throughput high.
+ Track verification development for CIs and integrate with testing teams to develop testing plans.
+ Lead a team focused on diverse deliverables including system models, requirement baselines, subsystem specification documentation (includes DOORS and CAMEO experience).
+ Support and integrate with system design, system test, subsystem test, subsystem development, and algorithm development teams to ensure high quality and accurate products.
+ Manage technical changes across different IPTs on the program for consistent low level technical detail.
+ Estimate of 80% Technical & CAM & 20% functional management activities.
**Position Benefits**
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. T **his role may offer a competitive relocation assistance package.**
**Job Qualifications**
**You'll Bring These Qualifications:**
+ Bachelors' degree in a STEM related field with 6 years (or MS with 4 years) minimum experience in engineering practices, methodologies, and products throughout the DoD acquisition lifecycle.
+ At least one year experience leading projects or cross-functional teams.
+ Experience in Program Execution, Staffing, Engineering Metrics and Training resources, Processes, and Tools.
+ Active DoD Secret clearance or higher awarded or reviewed in the last 6 years and the ability to obtain and maintain Top-Secret clearance.
+ Experience with any of the following tools and languages: DOORS, Rhapsody, Cameo, Prima Vera, Product Lifecycle Management tools.
**These Qualifications Would be Nice to Have:**
+ Master's in engineering, Science Technology, Engineering or Math (STEM) or related discipline.
+ 2 years of experience in any combination of Communications, Cyber, Systems, Software, or Hardware engineering disciplines accompanied by moderate proficiency in technical problem solving to include requirements decomposition, root cause analysis, solution development Previous experience managing suppliers.
+ Experience in People and Technical Leadership roles.
+ Requirements Management: MBSE, Functional Block Diagrams, Specification Trees.
+ Systems and end item requirements validation and verification.
+ Design: Systems Design, Systems Architecture, Systems Integration, N2 Analysis, Trade Studies.
+ Decision Making: Risk Management, Cost-Risk-Benefit Analysis.
+ Experience with working with government/military personnel at government/military facilities.
+ Familiarity with Agile methodologies and practices.
+ ICBM experience.
**Salary Range:** $131,200 - $196,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Woodland Hills) Staff Mixed-Signal Electronics Design Engineer - Digital/Analog Circuitry2024-03-14T06:15:47-04:00https://northropgrumman.jobs/88B7C384B4A14F0DBA72DF3B4261FE5726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is a trusted provider of mission-enabling solutions for global security. Our Engineering and Sciences (E&S) organization pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
We are looking for you to join our team as a Mixed-Signal Electronics Design Engineer - Digital/Analog Circuitry based out of Woodland Hills, CA.
**What You'll Get To Do:**
As part of the electrical engineering team, you will have the opportunity to deploy with our mission systems and provide the following support to our military/government end users:
+ Collaborate with electrical and system engineering fellows for development of Space Navigation Inertial Measurement devices
+ Work with our rad-hard and NH&S SMEs to build robust electrical designs for space applications
+ Troubleshoot Hardware/Software issues;
+ Provide direct support to our production, test engineering and integration teams
**Roles and Responsibilities:**
+ Design custom mixed-signal electronic circuits for space applications (e.g. including DACs, ADCs, microcontrollers, and FPGAs).
+ Research advancements in technology to provide innovative solutions with overarching goal to improve performance while reducing SWaP (size, weight, and power).
+ Selection of components to meet technical performance requirements; creation of parts lists for new assemblies; and liaison with Procurement Team to ensure parts are available for prototyping and production.
+ Compose FPGA/ARM firmware and design GUI for product validation and verification.
+ Integration of Hardware & Software into a functioning system.
+ Generate engineering change notices when needed, update models / drawings, and release appropriate documentation into Product Lifecycle Management (PLM) databases.
+ Work under general direction to independently determine and develop viable solutions.
**Basic Qualifications:**
+ Bachelors of Science in STEM field with a minimum of 14 years of relevant work experience, or a Master's degree in STEM with a minimum of 12 years of related work experience, or a PhD with 9 years of experience
+ Extensive experience in analog, digital, and mixed-signal electronic system design including circuit simulation and worst-case circuit analysis.
+ Experience developing embedded firmware and software for data acquisition and control applications using C / C++ / C# for microcontrollers and Xilinx SOCs.
+ Experience with electrical schematic capture and board layout tools such as Expedition or Cadence.
+ Well versed in hands-on use of laboratory test equipment including oscilloscopes, function generators, DMM, BERT, power analyzers, network analyzers, and signal analyzers.
+ US Citizenship
+ Ability to obtain/maintain a DoD Secret Clearance
+ Ability to work full-time onsite
**Preferred Qualifications:**
+ Design experience in analog, low noise, filters, shielding, EMI/EMC for space and nuclear radiation environments.
+ Demonstrated excellence in delivering fully functional embedded systems for data acquisition and control applications including intuitive operator interface.
+ Proficient with circuit design simulation tools such as Spice, Excel, MATLAB, etc.
+ Test and troubleshooting of electronics and electrical systems related to analog/mixed-signal, data acquisition, communications, and power conversion/distribution.
+ High Density Interconnect PWB design experience.
+ Experience utilizing and troubleshooting high-speed protocols such as PCIExpress, Gigabit Ethernet, TCP/UDP (sockets).
+ Experience with Visual Studio.NET, WPF, Keil, MPLAB, GIT and/or SourceSafe.
+ Strong verbal & written communication and presentation skills.
+ Active DoD Secret Clearance
**Salary Range:** $165,000 - $247,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-NC-Morrisville) Principal Engineer Systems / Sr. Principal Engineer Systems2024-03-14T06:15:46-04:00https://northropgrumman.jobs/05F6138761F446558AFDA66F950EDED226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
Northrop Grumman Space Systems (NGPS) seeks to fill a **Principal** **Systems Engineer/Sr. Principal Systems Engineer** in the Payload and Ground Systems Division Engineering organization supporting Integrated National Systems (INS) Business Unit (BU) to support a Ground program. This position can be filled at our **Morrisville, NC, or** Fairfax, VA offices **.**
**What you'll get to do?**
As a Systems Engineer on this team, you will support a team of engineers to define, develop, decompose, analyze, verify, and validate requirements for a Ground Segment. In this role, you will be doing requirements derivation, decomposition, and management. We're seeking someone that is skilled with JIRA, Confluence, Doors, and the Agile methodology. Product owner experience and experience with stakeholder interactions desirable.
This role is for a SEIT engineer familiar with Ground Systems to support the program through CDR, development, integration, test, and verification/validation.
This requisition may be filled at a higher job grade based on the qualifications listed below.
This requisition may be filled as either a Principal Systems Engineer, or a Senior Principal Systems Engineer.
**Basic Qualifications for Principal Systems Engineer:**
+ 5 years of relevant experience with a Bachelor of Science degree in a STEM discipline; 3 years of relevant experience with a Masters' degree; 0 years of experience with a PhD
+ Working knowledge of systems engineering principles and processes
+ Demonstrated experience quickly learning new concepts and approaches
+ Active Top Secret/SCI clearance and willing to submit to a polygraph
+ Clearance crossover and TS/SCI are required prior to start date.
+ Experience with requirements derivation, decomposition, and management
+ Experience with JIRA, Confluence, and Doors
**Basic Qualifications for Senior Principal Systems Engineer:**
+ 9 years of relevant experience with a Bachelor of Science degree in a STEM discipline; 7 years of relevant experience with a Masters' degree; 4 years of experience with a PhD
+ Working knowledge of systems engineering principles and processes
+ Demonstrated experience quickly learning new concepts and approaches.
+ Active Top Secret/SCI clearance and willing to submit to a polygraph
+ Clearance crossover and TS/SCI are required prior to start date.
+ Experience with requirements derivation, decomposition, and management
+ Experience with JIRA, Confluence, and Doors
**Preferred Qualifications** :
+ Polygraph
+ Familiarity with space vehicle ground segment design
+ Experience with Agile development
+ Experience with Cameo
+ Familiarity and direct experience with Model-Based Systems Engineering languages (SysML/UML) and concepts
+ Excellent technical writing skills
+ Experience with team building
**Salary Range:** $97,500 - $160,900
**Salary Range 2:** $121,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-NY-Bethpage) Principal / Sr. Principal Supply Chain Logistics Specialist Bethpage NY (DoD SkillBridge)2024-03-14T06:15:46-04:00https://northropgrumman.jobs/1210E7022C0E4E64A8C1202F6F6E87DE26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identify as veterans, and more than 1,600 are reservists.
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29 .The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members **.**
**Responsibilities for this internship position are:**
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for SkillBridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
**Goals** - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
**Objectives** - Service Members who complete the Intern program will be highly-trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
**Outcome** - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**DoD SkillBridge Eligibility:**
+ Has served at least 180 days on active duty
+ Is within 12 months of separation or retirement
+ Will receive an honorable discharge
+ Has taken any service TAPS/TGPS
+ Has attended or participated in an ethics brief within the last 12 months
+ Received Unit Commander ( first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.
**Job Description:**
Interfaces with Quality, Contracts, Program Office and Global Compliance to ensure compliant packaging, kitting and shipment of material.
Essential Job Duties and Responsibilities:
+ Packages and coordinates the shipment of components and finished goods for both commercial and military customers according to shipping processes and in accordance with specific contracts requirements for packaging
+ Interprets Packaging Plans and compares them to the Customer Contract for shipping processes.
+ Prepares packaging requirement instructions when required
+ Interface with Program, Operations, Quality, Global Supply Chain, Scheduling, Compliance and Shipping as required
+ Supports all other departments requiring shipping information or assistance
+ Examines material to verify compliance to specifications
+ Moves or transports material to other sites and maintains inventory / other records
+ Maintains transactional data requirements in the ERP system (SAP)
+ Must be familiar with and understand the packaging requirements of Mil-Spec packaging, MIL-STD-2073-1E with CHANGE 4 dated 22 April 2019
+ Must be able to work with and issue DD-250's within the government system for the sale of material
+ Examine incoming and outgoing shipments
+ Work with outside supplier on the design and procurement of shipping containers when required
+ Ability to operate forklift, hand truck, pallet jack and other warehouse equipment
+ Abide by all company safety requirements
+ Keep shipping and dock area clean and organized daily
+ Must be familiar with DD-1149's for the movement of government owned material
+ Day Shift 6:30 AM to 4:00 PM. Some overtime as required.
The description provided above is not intended to be an exhaustive list of all job duties, responsibilities and requirements. Duties, responsibilities and requirements may change over time and according to business need.
**Basic Qualifications** **:**
+ Must be a US citizen
+ Ability to obtain Secret Security Clearance.
+ Experience with MS Office required.
+ Strong interpersonal skills and ability to work well in a team environment.
+ Good verbal and written communication skills.
+ Ability to follow written and verbal directions, prioritize work, complete multiple tasks and work under deadlines.
+ Ability to read and interpret detailed specifications and instruction, such as safety rules, reports, contracts, process documents, and correspondence.
+ Must be able to lift fifty (50) pounds.
+ Must be willing to obtain Dangerous goods (Hazardous Material) certifications within thirty (30) days of hire.
**Principal Level** - Must have a bachelor's degree with 6 years' experience, or master's degree with 4 years' experience or a high school diploma/equivalent and 10 years of experience in lieu of a degree.
**Sr. Principal Level** - Must have a bachelor's degree with 10 years' experience, or master's degree with 8 years' experience or a high school diploma/equivalent and 14 years of experience in lieu of a degree.
**Preferred Qualifications:**
+ Prior experience with DCMA product sell-off is a plus.
+ Ability to complete employer skills training certification classes as necessary for the specific job duties.
**Salary Range:** $80,600 - $121,000
**Salary Range 2:** $100,000 - $150,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-AZ-Chandler) Principal Enterprise Apps Analyst2024-03-14T06:15:46-04:00https://northropgrumman.jobs/624345EAE34947BD9EBA8E3A479B046E26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Principal Ent Applications Analyst
Space Systems is searching for an experienced Principal Enterprise-Wide Applications Analyst to join the Launch Vehicles business unit. This position will be located in Arizona.
Analyzes, evaluates, modifies, configures, tests and implements enterprise-wide purchased systems (e.g. SAP, PeopleSoft, etc.) Prepares application system specifications. Plans, implements and coordinates system upgrades, enhancements or maintenance.
Basic Qualifications:
+ Provide server-side application installation, interface, maintenance and monitoring (basic html experience)
+ Manage existing tools built on Share Point
+ Must have experience within a Quality Management System preferably TipQA
+ Experience with Oracle databases and Toad or similar database management tool. Ability to write and maintain moderately complex SQL queries and PL/SQL procedures and/or views.
+ Initiate Change Control Board requests. Define impact of software changes to ensure acceptance of software implementation deliverables.
+ Monitor Licenses compliance, estimate need for additional license and coordinate renewals and acquisition of additional licenses.
+ Troubleshoot data issues, manage/coordinate upgrades, submit/monitor vendor enhancement requests, create documentation and/or perform training.
+ Coordinate user acceptance testing to assure defect free implementations of upgraded software
+ Work closely with business partners to understand their needs and help facilitate process improvements within our applications. Assist these partners to define the scope and objectives & gather specific application requirements.
+ Manage all aspects of improvement projects including: analysis of alternate approaches/technologies, coding, testing, implementation, and maintenance
+ Create necessary documentation around new projects both for administrator and end user. Will review/analyze/evaluate code reviews for application integration.
+ Excellent analytical and problem-solving skills.
+ Ability to work independently.
+ Excellent written, verbal, and communication skills
Minimum Education or Experience:
5 Years Experience or Bachelor of Science
This position is based in Chandler Arizona
**Salary Range:** $84,200 - $126,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Redondo Beach) Project Management/Principal Project Management2024-03-14T06:15:45-04:00https://northropgrumman.jobs/081CA830520C4ACEABCEB9474905AC1C26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems in air and space that impact people's lives around the world today, and for generations to come. Our work preserves freedom and democracy, and advances human discovery and our understanding of the universe. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have a lot of fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems has an opening for a Project Management/Principal Project Management** (Global Supply Chain) to join our team of qualified, diverse individuals. This position will be located on-site in **El Segundo, CA or Redondo Beach, CA.**
This position will be supporting the Research & Advanced Design Global Supply Chain team. The selected candidate will be part of a diverse organization of project management professionals within the GSC Program Management organization. The candidate is responsible to oversee and manage the operational aspects of ongoing projects, and serve as the liaison between GSC program management and other GSC functional and program teams.
This individual should possess leadership and team building capabilities, excellent communication, and have strong interpersonal skills. Must possess solid organization abilities and be a motivated, team player. Some travel may be required.
Responsibilities for this position include (but are not limited to):
+ Directly Support GSC IPT Capture Lead & GSC Program Manager
+ GSC Staffing - GSC Focal for all Staffing Metrics
+ Weekly Activity Reports
+ Ensure consistency in GSC processes
+ Tracking of all GSC Risks and Opportunities
+ Tracking and Reporting on Action Items
+ Accurately builds/presents Executive level leadership briefings
+ Support GSC Leadership in all activities pertaining to GSC Program Strategy & Supplier Engagement Plan
+ Facilitation of meetings, actions and deliverables, which often include senior leadership
+ Work cooperatively with the technical and senior GSC staff to address customer requests
+ Candidates must be able to make sound decisions, or ask for guidance in a timely manner, display strong interpersonal skills, and have an agile perspective.
This requisition may be filled at either a Project Management Level or Principal Project Management Level.
**Project Management (Level 2)**
Basic Qualifications:
+ **Bachelor's Degree with three years of experience** OR **Master's Degree with one year of experience** in Global Supply Chain, Project Management, Program Integration, or Business Management.
+ Proficient with Microsoft Office tool suite; the ability to create, manage, and manipulate the tools, especially PowerPoint, Word, and Excel.
+ Knowledge of Project Management principles and experience utilizing them professionally.
+ Ability to obtain and maintain DoD Secret clearance
+ Ability to obtain Program Access
**Principal Project Management (Level 3)**
Basic Qualifications:
+ **Bachelor's Degree with six** **years of experience** OR **Master's Degree with four ye** **ars of experience** in Global Supply Chain, Project Management, Program Integration, or Business Management.
+ Proficient with Microsoft Office tool suite; the ability to create, manage, and manipulate the tools, especially PowerPoint, Word, and Excel.
+ Knowledge of Project Management principles and experience utilizing them professionally.
+ Ability to obtain and maintain DoD Secret clearance
+ Ability to obtain Program Access
Preferred Qualifications for Level 2 or Level 3:
+ Experience working in Global Supply Chain organization.
+ The ability to utilize various management control software such as SAP and Microsoft Project.
+ Experience in Earned Value Management (EVM), ideally Control Account Manager (CAM) Certified within last two years.
+ Experience providing financial and business support.
+ Project/Program Management Certifications.
+ SharePoint workflow or other collaboration site design/development.
+ Process mapping and process improvement experience.
**Salary Range:** $72,100 - $108,100
**Salary Range 2:** $88,700 - $133,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-IL-Rolling Meadows) Principal Project Manager2024-03-14T06:15:45-04:00https://northropgrumman.jobs/61E81A58637D4185B8A0EC2752AA231F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
Northrop Grumman, Space Systems is looking to fill a Project Management role to support the Remote Sensing Programs (RSP) business unit in the fast-growing Payload & Ground Systems (PGS) Division. The selected individual will be a valued member of the Business Unit Operations team, organizational efficiency, and business operations.
The Remote Sensing Program (RSP) organization within the PGS Division of Space Sector seeks an experienced operations lead to provide support to an RSP team that includes engineering, program, and other functional teams. The position reports directly to the RSP Operations Manager and is located in Rolling Meadows, IL.
In this role, the candidate understands the goals and priorities of the RSP organization, ensuring all activities are aligned with these objectives, interpreting and developing organizational strategies, policies and practices to meet business objectives.
**Responsibilities will include, but may not be limited to:**
+ Serves as liaison between RSP Leadership, project management, programs, and engineering.
+ Develop Rolling Meadows employee experience strategy in partnership with leadership. Execute key projects and tasks in support of the strategy within defined timeline and budget; adapt to planned and unplanned variables.
+ Plan Rolling Meadows Leadership events, including customer and executive visits, offsite strategy events, and more.
+ Improve operational systems, processes, and policies in support of the RSP Organization.
+ Oversee the operational aspects of ongoing Rolling Meadows capital and facilities projects to ensure schedules and budgets are met.
To be successful in this role, the candidate must be a self-starter, have excellent written and oral communication skills, be highly collaborative, team oriented, have strong people leadership skills, and be able to effectively multi-task competing priorities and schedules across the organization.
**Basic Qualifications:**
+ 6 years of relevant experience with a bachelor's degree (4 years with a master's degree). An additional 4 years of relevant experience will be accepted in lieu of a degree.
+ Excels at operating in a fast paced, dynamic environment and can adapt to change.
+ Has the ability to quickly respond to a variety of demands.
+ Strong attention to detail and the ability to function independently, meet deadlines, and drive tasks to completion.
+ Must have current TS clearance with the ability to obtain and maintain an active TS/SCI clearance.
**Preferred Qualifications**
+ Experience in Space industry.
+ Current TS/SCI clearance with relevant special accesses.
+ Bachelor's degree with a 10 years' experience (8 Years with a Masters) in an engineering, operations, or program environment.
+ Strong understanding of Northrop Grumman Space Systems, and proven experience effectively working within and through NG (and/or a similar) corporate culture
+ Demonstrated ability to understand staffing demand and supply data metrics; strong problem solving and analytical skills; ability to make recommendations and provide guidance to effectively meet program staffing requirements across the business unit.
+ Experience with the development of an employee experience strategy and an executable plan
+ Experience in operational organization effectiveness implementing best practices.
+ Demonstrated leadership ability.
**Salary Range:** $84,600 - $127,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Principal Digital Engineer (FPGA and ASIC Design)2024-03-14T06:15:45-04:00https://northropgrumman.jobs/C717A3F22CE84D01B67550EDD7977A6D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems Sector (NGMS) is a leading global provider of secure software-defined, hardware enabled mission systems. Our company is pioneering capabilities in a wide variety of sectors that keep our nation and our allies safe from undersea to space and cyberspace. NGMS is seeking a Principal Engineer with the desire to learn new technologies to join our innovative Digital Technologies Organization to help develop, enhance and maintain FPGA and/or ASIC designs on cutting edge products and systems. As a Principal Digital Engineer at Northrop Grumman you will have a challenging and rewarding opportunity to be a part of our Enterprise-wide digital transformation. Through the use of Model-based Engineering, DevSecOps and Agile practices we continue to evolve how we deliver critical national defense products and capabilities for the warfighter. Our success is grounded in our ability to embrace change, move quickly and continuously drive innovation. The selected individual will work on FPGA and ASIC Design across the full product life cycle process. In this capacity, you will utilize your working knowledge of digital signal processing and digital interfaces.
This position is located in Linthicum, MD or Morrisville, NC.
**Basic Qualifications:**
+ Bachelor's degree with 5 years of experience, a Master's degree with 3 years of experience or a PhD with 0 years of experience in Electrical Engineering, Computer Engineering, Computer Science, or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S Citizenship is required
+ An active DoD Secret Security Clearance is required with the ability to obtain Special Program Access (SAP) prior to start.
+ Working knowledge of full product life cycle (requirements, design, implementation and test) of FPGA Design and/or ASIC Design
+ Knowledge of System Verilog, Verilog and/or VHDL
+ An active DoD Secret Security Clearance is required
**Preferred Qualifications:**
+ Advanced Degrees in Electrical Engineering, Computer Engineering, Computer Science, or related technical fields
+ Active DoD Top Secret Clearance or higher
+ Experience with industry standard FPGA design implementation tools for IP integration, PnR, CDC such as Xilinx Vivado, Intel Quartus, and QuestaSim.
+ Experience with industry standard ASIC front-end design tools for synthesis, LEC, CDC
+ Experience with STA constraints generation and timing closure
+ Experience with MATLAB, Mentor Graphics design tools, Synopsys or similar tool
+ Familiarity with Xilinx and Intel FPGA technology
This position is contingent upon transfer of DoD Secret Clearance and Special Program Access (SAP) prior to starting.
**Salary Range:** $112,600 - $169,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Schriever AFB) Mission Support Threat Engineer 2/32024-03-14T06:15:45-04:00https://northropgrumman.jobs/FCBF477B76CF466C84EBEAA144417D2126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity, and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they are making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
The Specialized Warfighter Development Contract's (SWDC) Threat Products Services (TPS) / Threat Modeling Center (TMC) at Northrop Grumman Space System is looking for you to join our Mission Support Threat Engineering (MSTE) team as a Systems Engineer or a Principal System Engineer out of Schriever Space Force Base, Colorado Springs, CO.
The Threat Modeling Center is responsible for the development and delivery of high-fidelity threat modeling products in support of the Missile Defense Agency (MDA) and MDA's Ballistic Missile Defense System (BMDS). TMC is responsible for the development of models and simulations (M&S) used to stimulate element models of MDA's BMDS, including kinematic missile modeling, IR and RF signatures modeling, data production/analysis activities, and maintenance/sustainment activities for the entire TMC software baseline. TMC is a cornerstone element to the Specialized Warfighter Development Contract (SWDC), as part of the MDA Engineering Directorate (DE) Task Order.
The Mission Support Threat Engineer role serves as a missile threats specialist, threats model developer, and a verifier and validator of threat representations across the kinematic, RF, and IR signatures domains. This Systems Engineering specialized position requires a detail-oriented mid-level engineer responsible for capturing and documenting requirements as they pertain to the development of Missiles' kinematic, RF, and IR signatures models . Must have a good understanding of modeling and simulation methods and processes. Must be familiar with Radar Cross Section (RCS), and Infrared Signatures concepts - to include physics behind hard body heating and reflection. To proficiently support our mission, the Mission Support Threat Engineer will be involved in direct discussions and collaborations with our customers, threat stakeholders, intelligence analysts, and fellow engineers in order to best capture the requirements for developing and delivering accurate and relevant threat models. The selected individual must be able to work with little to no direct supervision, work as an integral member of a product delivery team and meet time critical delivery schedules.
**This role requires candidates to be onsite daily with no option for remote/hybrid work.**
**Job responsibilities will include, but not limited to the following:**
+ Gain experience with threat model phenomenology with focus on components of missile systems trajectories and signatures.
+ Research into RCS and IR signature model development requirements
+ Understand stakeholders' requirements and ensure quality production of deliverables.
+ Actively work with the team to ensure automation and tools improvement are rightfully coordinated - aiming to attain utmost efficiency and meet Lead's objectives.
+ Able to work on defined schedule to timely support MDA Ground Test Modeling and Simulation.
+ Work in close coordination with Missile Modeling Engineers to ensure that model requirements are met, and V&V confirm models' accuracy and reliability.
**This requisition may be filled at a higher grade based on qualifications listed below.**
**Basic Qualifications (BQ's):**
This requisition may be filled at either a Systems Engineer or a Principal Systems Engineer level.
**Basic Qualifications for a Systems Engineer:**
+ Bachelor of Science degree in a STEM discipline (Science, Technology, Engineering, or Math) with 2 years of relevant experience, or 0 years' experience with a Maters' Degree.
+ Modeling, Simulation, and automation experience
+ Familiarity with the systems engineering process
+ Experience with requirements traceability
+ Experience with Model Base Systems Engineering (MBSE)
+ Familiarity with flight characteristics, motion, and performance of missile systems
+ Familiarity in the domain of RFC and IR signature modeling practices
+ Familiarity with hard body heating of objects and their corresponding signatures representation
+ Must be self-motivated, able to work in a dynamic team environment
+ Active or Interim DoD Secret Clearance with ability to obtain a Top Secret with access to SCI
**Basic Qualifications for a Principal Systems Engineer:**
+ 5 years of relevant experience with a Bachelor's degree in a STEM discipline; 3 Years with Masters; 0 Years with PhD
+ Modeling, Simulation, and automation experience
+ Knowledge and experience with the systems engineering process
+ Experience with requirements development and traceability
+ Practical experience with Model Base Systems Engineering (MBSE)
+ Previous experience modeling and simulating signature profiles and responses in the IR signature and RCS domains
+ Experience with flight characteristics, motion, and performance of missile systems
+ Familiarity with hard body heating of objects and their corresponding signatures representation
+ Must be self-motivated, able to work in a dynamic team environment
+ Active or Interim DoD Secret Clearance with ability to obtain a Top Secret with access to SCI
**Preferred Qualifications:**
+ Strong math, physics, or engineering background
+ Strong background with missile system models, atmospheric dynamics, and performance
+ Mastery of engineering modeling principles behind body motion, trajectory analysis, counter measures, debris, and signature modeling
+ Familiarity with Optical Signatures Code (OSC)
+ Strong knowledge of the flight characteristics, motion, atmospheric dynamics, and performance of missiles
+ Experience with Model-based Systems Engineering theory and tools
+ Experience in Agile software development methodologies including Scrum and Kanban
+ Technical Planning, project management and project scheduling experience
+ Ability to obtain DoD Top Secret Clearance with access to SCI
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment that encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family. Your benefits will include the following:
Health Plan
Savings Plan
Paid Time Off
Education Assistance
Training and Development
Flexible Work Arrangements
https://benefits.northropgrumman.com/us/en2/BenefitsOverview/Pages/default.aspx
NGSpace
COSpace
NGFeaturedJobs
**Salary Range:** $79,300 - $118,900
**Salary Range 2:** $97,500 - $146,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-IL-Rolling Meadows) Space TS/SCI - Principal/Sr Principal Engineer Systems - Requirements Manager (Up to $15k in sign-on bonus for eligible candidates!)2024-03-14T06:15:45-04:00https://northropgrumman.jobs/83C7940C1CF44E298F94CF8B51A5AE8C26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems designs, builds and delivers space, defense and aviation-related systems to customers around the world. Our main products include launch vehicles and related propulsion systems; missile products, subsystems and defense electronics; precision weapons, armament systems and ammunition; satellites and associated space components and services; and advanced aerospace structures.
This position can be hired as a Principal or Sr. Principal Systems Engineer for our Rolling Meadows Systems Engineering Integration & Test (SEIT) organization, within Payload & Ground Systems Division (PGSD).
As a part of the SEIT team, a Requirements Manager is exposed to the Systems Engineering ("V") process and coordinates across many disciplines to ensure system requirements are properly and thoroughly defined, captured, and meet the mission need for the end customer.
Basic Qualifications:
+ Principal Engineer - Bachelor's degree with 5 years of experience, a Master's degree with 3 years of experience or a Ph.D. with 0 years of experience in Electrical Engineering, Computer Engineering, Computer Science, Applied Physics, Applied Mathematics, or related technical fields.
+ Sr Principal Engineer - Bachelor's degree with 9 years of experience, a Master's degree with 7 years of experience or a Ph.D. with 4 years of experience in Electrical Engineering, Computer Engineering, Computer Science, Applied Physics, Applied Mathematics, or related technical fields.
+ Familiarity with the System Engineering V-Model and prior experience executing the systems engineering workflow.
+ Excellent communication, organization and coordination skills (working with cross-functional teams).
+ Monitor and maintain integrity of links during the development phases of programs.
+ Generate reports and analysis metrics.
+ Coordinate and support all users of the requirements database.
+ Develop and deploy DXL scripts and templates for use with the DOORS requirements database.
+ Train and mentor users.
+ Create and maintain all Requirement Verification Reports of requirements management (Evidence Sell-Off Ledgers, VCRMs, VAMs, RvC, etc.).
+ Create required Microsoft Word documents from the door database to support project teams.
+ Develop and implement common best practices for DOORS to support requirements management throughout the organization.
+ Import requirements from other databases to DOORS.
+ Ability to obtain TS/SCI or TS/SAP clearances.
Preferred Qualifications:
+ Active TS/SCI with Polygraph.
+ Working knowledge of RF sensors/antennas, transmitters/receivers.
+ Familiarity with Software Defined Radio technologies.
+ Full life cycle experience developing, integrating, and deploying space systems.
+ Knowledgeable in space systems design and mission planning.
+ Experience with interfacing Cameo (MBSE tool) and DOORS to manage system requirements.
**Salary Range:** $102,400 - $153,600
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MA-Devens) Contracts Manager 2 - AOA Xinetics2024-03-14T06:15:44-04:00https://northropgrumman.jobs/14D4BBBA08A5497CA5B9C3D42BF2B8F726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman AOA Xinetics is a leader in developing challenging, mission critical electro-optical and opto-mechanical systems. We design, develop, manufacture and service systems world-wide for government, industrial and commercial customers.
Northrop Grumman Space Sector - Payload & Ground Systems is looking for a Contracts Manager 2 to join our team of qualified, diverse individuals. This position will sit our location in Devens, MA, and may require up to 10% travel of the time to East Hartford, CT.
**In this role, the selected candidate will:**
+ Work complex assignments independently and support Finance, Program Management, and various levels of Management
+ Lead proposal preparation, contract negotiation, and contract administration activities from the proposal through closeout
+ Administrate daily contract activities for a variety of product lines and contract types, including cost reimbursable and firm fixed; such activities will include, establishing payment terms, initiating and monitoring invoicing, collections, and funding to support financial objectives
+ Enter and manage data in the financial/delivery system
+ Participate in IPT and customer meetings; performing and monitoring compliance to contract requirements and leading change management process
+ Ensure non-disclosure agreements and contract terms and condition are negotiated within company guidelines
+ Manage direct reports responsible for the administration of the contracts within the portfolio
+ Provide advice and counsel on contractual risks and mitigation to senior leadership
+ Review and approval of contractual documents to protect the company's contractual posture, adherence to company policies, provide advice and counsel to various levels of leadership regarding contractual rights and obligations and interpretation of terms and conditions
**The successful candidate will be able to:**
+ Interpret, apply, and follow contract acquisition rules, company policies, and customer specifications
+ Think strategically, trouble shoot issues and work with various teams to drive tasks to completion efficiently and accurately in a dynamic fast paced environment
+ Effectively communicate, verbally and written, with both internal and external customers is imperative
+ Must be able to deal effectively with competing priorities and deadlines, and able to handle multiple tasks
+ Must possess excellent decision-making skills that support resolution of complex problems while minimizing risk to the Company
+ Candidate must be a self-starter and able to work collaboratively with team members, including in an advisory role to program management, site management, and the customers.
**Basic Qualifications:**
+ Bachelor's degree with 10+ years of experience in a contracts related field - OR - Master's degree with 8+ years of contracts related experience
+ Proficiency with Microsoft Office Suite, specifically Excel and PowerPoint.
+ US Citizenship is required
**Preferred Qualifications:**
+ Current, active TS/SCI Security Clearance
+ Working knowledge of FAR/DFARS/NFS clauses
+ Experience in the Aerospace Industry or Manufacturing Industry
+ Experience working independently on routine to moderate contract management responsibilities, including contract and regulatory compliance, and negotiations (price, terms and conditions, and technical requirements)
+ Experience working with terms and conditions
+ Ability to manage multiple contracts at the same time of moderate value and risk level, including various contract types such as cost reimbursable and fixed price
+ Basic understanding of Government contracting requirements; understanding of international contracting
**Salary Range:** $125,500 - $188,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Level 4 Sr Principal Contract Administrator2024-03-14T06:15:44-04:00https://northropgrumman.jobs/2929F00CD2C04565B85184664C645DDD26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Job Description**
Northrop Grumman has an opening for a **Level 4 Contract Administrator** to join our team of qualified, diverse individuals! This position will be located on-site at our Palmdale, California location. The successful candidate will support proposal development, submissions and negotiations followed by supporting program execution by providing contractual interpretation and guidance. Candidate will have interface with the external customer, internal program management team, functional management, and senior leadership to provide contractual council and administrative support and analysis to meet program requirements. Must be highly productive in daily routine and demonstrate good oral and written communications skills along with strong computer literacy.
**Essential Functions:**
+ Administers, extends, negotiates and terminates standard and nonstandard contracts
+ Conducts proposal preparation, contract negotiation, contract administration, and customer contact activities to provide for proper contract acquisition and fulfillment in accordance with company policies, legal requirements, and customer specifications.
+ Examines estimates of material, equipment services, production costs, performance requirements, and delivery schedules to ensure accuracy and completeness
+ Prepares bids, processes specifications, progress, and other reports
+ Advises management of contractual rights and obligations
+ Compiles and analyzes data
+ Maintains historical information
We offer flexible work arrangements, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
**Basic Qualifications** **for the Level 4 Principal Contract Administrator:**
+ Bachelor's degree with 10 years of experience or a Master's degree with 8 years of experience in contracts management /administration and/or industry related experience
+ No clearance needed to start but must have the ability to obtain a Top Secret Clearance and program access.
**Preferred Qualifications:**
+ Aerospace and defense industry experience
+ Experience in contract negotiations
+ Prior experience supporting contracts consisting of complex production efforts.
+ Prior experience with a variety of contract types such as Cost Plus, Fixed Price, Fixed Price Incentive Fee, etc.
+ Prior knowledge and understanding of FAR and DFARS
+ Strong problem-solving skills and the ability to be flexible and change directions when needed.
+ Excellent organizational skills and attention to detail
At Northrop Grumman, we are on the cutting edge of innovation-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule, and a great 401K matching program.
**Salary Range:** $107,000 - $160,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Magna) Manager Supply Chain Programs 12024-03-14T06:15:44-04:00https://northropgrumman.jobs/398F105C9ACF43C3AACD74603F02C3A626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Launch and Missile Defense Systems (LMDS) is an industry-leading provider for prime satellite and payload capabilities and directed energy and electronics solutions for national security, military, and civil customers. We are built on a heritage of providing innovative, affordable and reliable aerospace and defense products that our customers rely on to achieve mission success. Join the Space revolution and make the impossible, possible.
LMDS has an opening for a **Manager Supply Chain Programs 1** to join our team of qualified, diverse individuals. This position will be located in Magna, Utah.
**Job Description:**
+ Responsible and accountable for the strategy, planning, and execution of all material and services content for a Program or collection of Programs. The primary focus of this role will be on Business Development and Proposals across the Launch Vehicles Business Unit.
+ Establish and build tools to facilitate standardization in proposal activities and practices.
+ Create repeatable process to backward plan material needs to avoid lead time issues post contract award.
+ Working with Contracts organization to ensure material assumptions are captured in proposal ground rules and assumptions on all proposals.
+ Acts as the main focal point for the Program Management Office (PMO) for the entire supply chain and coordinates cross-functionally to ensure that all supply chain commitments are met, and that appropriate mitigation plans are developed and executed to address any programmatic risks related to suppliers.
+ Develops the material strategy and leads the activities for GSC in all phases of the Business Acquisition Process (BAP).
+ Ensures that prior to program execution, all elements of the proposed program(s) plans have complete and accurate schedules, budgets, make/buy plans, and procurement and subcontracts strategies.
+ Leads supply chain Program execution and is accountable for resource planning, material cost, forecasting, schedule performance, risk/opportunity management, supplier performance, and supply chain issue resolution.
+ Briefs the PMO and executive management on the status and issues affecting Program cost and schedule performance.
+ Shape proposals through training and coaching in a matrixed environment.
**Position Responsibilities:**
Focal point for managing Program Supply Chain throughout the Program life cycle from pursuit to contract close out. Responsible for;
+ Supply Chain Management strategy, demand planning, BOM maintenance, coordination w/ logistics and procurement stakeholders, developing a Material Program Plan (MPP), and risk management.
+ Manage the performance of the integrated supply chain cost, quality, & delivery.
+ Material risk management, including identification, and mitigation planning and execution.
+ Material budget development, sales forecasting and cost (EAC) management; EVMS if applicable.
+ Cross functional coordination as it pertains to supplier management, specifically with Supplier Quality Engineering (SQE), Procurement, and the IPT.
+ Briefs Program and Executive management and Customer on the status and issues affecting Supplier performance and cost.
**Basic Qualifications:**
+ A bachelor's degree AND 8+ years of related professional/military experience that includes a background in Supply Chain Management and/or Program/Project Management, OR a Master's degree AND 6+ years of related professional/military experience that includes a background in Supply Chain Management and/or Program/Project Management.
+ 4 additional years of experience will be accepted in lieu of a bachelor's degree
**Preferred Qualifications:**
+ Knowledge of FAR and agency supplements
+ Prior experience leading a team/process/project
+ Experience with supplier management
+ Direct experience interfacing with leadership
+ International/ITAR experience
+ Ability to obtain secret clearance is required
+ Prefer Experience with Program Management and Earned Value Management.
+ Ability to work at the Utah facility or willing to re-locate within 6mo of hire.
**As an employee of Northrop Grumman, you are eligible for our robust benefits package which includes:**
• Medical, Dental & Vision coverage
• 401k
• Educational Assistance
• Life Insurance
• Employee Assistance Programs & Work/Life Solutions
• Paid Time Off
• Health & Wellness Resources
• Employee Discounts
This position's standard work schedule is 9/80. The 9/80 schedule allows an employee to work a nine-hour day Monday through Thursday and take every other Friday off of work.
**Salary Range:** $99,400 - $149,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Woodland Hills) Principal Program Cost Control Analyst2024-03-14T06:15:44-04:00https://northropgrumman.jobs/B9EB867C62E843A1AF0FCB128E2E7B6926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
We're looking for you to join our team as a **Principal Program Cost Control Analyst** based out of Woodland Hills, CA. This position may be staffed as a hybrid role. This position offers the 9/80 schedule.
**What You'll Get to Do**
The **Principal Principal Program Cost Control Analyst (PCA )** supports both Firm Fixed Price (FFP) and Cost-Plus Fixed Fee (CPFF) type programs within the Navigation, Targeting and Survivability Division, Integrated Digital Systems (IDS) Operating Unit.
The **PCA** will interface with the program managers, functional management, cost account managers (CAMs) and business managers to provide financial support and analysis to meet program requirements. They will will prepare budgets and schedules for contract work and assist in financial analyses such as funding profiles, sales outlook, cash forecasting, and variance analysis.
The **PCA** will monitor costs and schedules on contracts requiring validated cost schedule control system. This will include performing perform Earned Value Metric (EVM) tasks such as Work Breakdown Structure (WBS) development, budget baseline, cost risk analysis/ assessment and visibility reports, development, and analysis of estimates to complete.
The **PCA** will perform analysis and prepare reports in order to ensure that contracts are within negotiated and agreed-upon parameters and government cost control guidelines, including maintaining accurate records of expenditures, directing preparation of expenditure projections, and submitting timely requests for additional funding to the government.
The **PCA** will support audits and reviews as requested by programs, customers, and company management, support Annual Operating Plan, Resource Plan, and Long Range Strategic Plan activity, and prepare financial inputs to internal reports and presentations (IPRS, Dashboards, BARs, Etc.)
**Basic Qualifications for a Principal Program Cost Control Analyst**
+ Bachelor's degree with 6 years of related professional experience - OR - a Master's degree with 4 years of related professional experience
+ Will consider 10 years of applied experience in lieu of degree requirement.
+ Experience with financial systems including cost performance reporting and analysis
+ Strong PC skills - specifically Excel proficiency (including pivot tables, Vlookup, and conditional formatting)
+ Ability to travel domestically 10% of the time.
+ US Citizenship required..
**Preferred Qualifications:**
+ Degree in Finance, Accounting, or Business Administration or related field
+ Experience with COBRA, COGNOS, SAP
+ Experience with Earned Value and performance measurement baseline concepts and ability to apply them to multiple contracts, CLINs and control accounts.
**What We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $88,700 - $133,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Manager Finance 22024-03-14T06:15:43-04:00https://northropgrumman.jobs/045EEBFA00D94DAA99F34E23EB264ECB26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems has an opening for a** **Financial Planning Manager 2** **to join our team of qualified, diverse individuals within our** **Strike Business Management** **organization. This leadership** **role is located in** **Palmdale, San Diego or El Segundo, CA.**
We are seeking a Financial Planning Manager 2 to join us in the pursuit of top-tier financial performance. The position will be based in Southern California, and will report to the Director Business Management for the Program, and will have the following responsibilities:
• Manage a small team of analysts and coordinate all aspects of the Monthly/Quarterly Financial Reporting and Planning processes for the Division
• Lead development of the Division consolidated Long Range Strategic Plans and Annual Operating Plans.
• Manage program financials, including: financial and cost forecasting, reporting and analysis, estimate at completion analysis, and working capital analysis (accounts receivable forecasting, treasury forecasting, unbilled analysis, and aged receivables analysis).
• Execute and support Sarbanes-Oxley (SOX) compliance and documentation.
• Work with the finance and business management teams to develop strategies to improve financial performance based on metrics.
• Internal and external audit support
• Support the development of presentations for, and brief to, Program and Division leadership.
• Interface with geographically disbursed business, program, and sector personnel.
• Support initiatives and ad hoc requests from Division, Sector, and Corporate leadership
**Job Qualifications:**
**Basic Qualifications:**
• Bachelor's degree in Business Administration, Economics, Finance, Accounting, Audit, or related discipline with a minimum of 7 years applicable experience, or Master's degree and 5 years applicable experience.
• Prior experience with Financial Planning and Reporting including supporting monthly actuals and forecasts, Annual Operating Plans, and Long-Range Strategic Plans
• Able to prepare and analyze data and figures
• Ability to comprehend and analyze complex problems and develop solutions
• Experience with ERP systems (SAP)
• Experience with planning software/database systems (e.g., Hyperion/Oracle Planning, Cognos)
• Proficient with Microsoft Office Suite (Excel, Word and PowerPoint)
• Active DoD Secret clearance (or higher) that is in-scope (granted or renewed in the last 6 years)
**Preferred Qualifications:**
• Full working knowledge of Financial Planning and Reporting, to include leading the development of monthly forecasts, Annual Operating Plans, and Long-Range Strategic Plans
• Master's degree in Business Admin, Accounting, or related discipline
• CPA License
• Advanced knowledge of Microsoft Office Suite
• Top Secret Clearance adjudicated in the last 6 years
• Special Program Clearance
We offer flexible work arrangements, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly inclusive environment! We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees! Does this sound like what you're looking for?
At Northrop Grumman, we are on the cutting edge of innovation-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule, and a great 401K matching program.
**Salary Range:** $125,500 - $188,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Falls Church) Tax Accountant2024-03-14T06:15:43-04:00https://northropgrumman.jobs/330C12CE67C44DF685461BAC713F684326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Tax Accountant is part of the Tax Reporting team located at Northrop Grumman's corporate office in Falls Church, Virginia. The Tax Accountant will report to the Senior Manager of Tax Accounting.
The Tax Accountant will be responsible for participating in all aspects of worldwide tax reporting including preparation of the quarterly and yearly tax footnote, including forecasting.
**Roles and Responsibilities:**
• Prepares the monthly, quarterly and year-end close process for all income tax-related processes/balances
• Prepares the month, quarter, and year-end effective tax rates
• Prepares the quarterly and year-end tax provision schedules
• Prepares the federal cash tax forecast
• Uses and maintains CorpTax Provision Software
• Research tax accounting issues and stays up to date on new or emerging guidance in the tax accounting area
• Prepares tax disclosures within SEC filings
• Prepare quarter and year-end external tax audit workpapers
• Prepares documentation for SOX and internal audit purposes
• Contribute to process improvements, workflow alignment, and streamlining
• Communicate effectively within department and company
This position may be filled at a higher level based on the qualifications below.
**Basic Qualifications Level 3:**
• Bachelor's degree in Accounting with at least 6 years of relevant tax work experience, or a Masters Degree with 4 years of tax work experience.
• Experience with corporate tax provisions and compliance
• Proficient with Microsoft Excel
**Basic Qualifications Level 4:**
• Bachelor's degree in Accounting with at least 9 years of relevant tax work experience, or a Masters Degree with 7 years of tax work experience.
• Experience with corporate tax provisions and compliance
• Proficient with Microsoft Excel
**Preferred Qualifications:**
• Master's degree in Tax
• CPA License
• Public Accounting Firm experience
• Experience with Corptax, Adobe Acrobat, SAP, and tax research software
• Team focused approach to work
• Ability to multitask, manage projects, and perform tax research
• Excellent oral and written communication skills
**Salary Range:** $88,700 - $133,100
**Salary Range 2:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Principal/Sr Principal Contract Administrator (Security Clearance REQUIRED)2024-03-14T06:15:43-04:00https://northropgrumman.jobs/DB2535D4057C46548A4E2C2E98A1DE4F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
We are looking for you to join our team as a **Principal or Senior Principal Contracts Administrator based out of Linthicum, MD** . This position offers the 9/80 schedule.
**What You'll Get to Do:**
The Contracts Administrator will join the Airborne Multifunction Sensors (AMS) Division, Advanced Tactical Programs (ATP) Operating Unit (OU) contracts team. The ATP OU is comprised of multiple restricted, highly visible, and fast-paced programs. The Contracts Administrator will act as the primary interface with business partners and prime/USG customers for proposal preparation, contract negotiation, and contract administration.
The Contract Administrator will manage assigned contracts in accordance with company policies, legal requirements, and customer specifications. Additionally, the Contract Administrator will ensure that contractual obligations are communicated and understood, ensure fulfillment of contract requirements, manage contract changes, and maintain historical information. Associated responsibilities include:
Responsibilities:
+ Reviewing terms and conditions to address provisions and risks of financial terms, acceptance criteria, delivery requirements, intellectual property, termination provisions, indemnification, and all other potential risk areas and recommending risk mitigation strategies.
+ Under general supervision, developing solutions to both routine and complex contractual matters to ensure company compliance with contract requirements on assigned programs.
+ Assisting management in achieving financial goals related to cash management and sales.
+ Preparing written communications with internal and external customers for assigned contractual matters.
+ Maintaining contract data into the Enterprise Accounting System.
+ Ensuring timely delivery of all contractual deliverables.
This role will have significant visibility within high visibility programs, including Division and Sector submittals and reviews and must manage tight deadlines in a complex environment. This individual must have the ability to meet high performance expectations in a very dynamic environment. Excellent communication is a must as the selected candidate will be directly involved in negotiations with the customer as a strategic team member. Our team is looking for someone who wants to grow and get experience with both USG and prime customers.
This position may be filled as a Principal Contracts Administrator or a Senior Principal Contracts Administrator:
**Qualifications:**
**Basic Qualifications for a Principal Contracts Administrator:**
+ Bachelor's degree with 6 years of experience in contracts / related field - OR - a Master's degree with 4 years of experience in contracts / related field - OR - 10 years of experience in lieu of a degree.
+ Working knowledge of defense contracting.
+ Proficiency with Microsoft Office Suite, (specifically Excel and PowerPoint) and Adobe Acrobat
+ Ability to travel 10% of the time.
+ US Citizenship
+ Current DoD Secret clearance is required.
**Basic Qualifications for a Senior Principal Contracts Administrator:**
+ Bachelor's degree with 10 years of experience in contracts / related field - OR - a Master's degree with 8 years of experience in contracts / related field - OR - 14 years of experience in lieu of a degree.
+ Working knowledge of defense contracting.
+ Proficiency with Microsoft Office Suite, (specifically Excel and PowerPoint) and Adobe Acrobat
+ Ability to travel 10% of the time.
+ US Citizenship
+ Current DoD Secret clearance is required.
**Preferred Qualifications for Both Levels:**
+ SAP/SAR experience
+ Working knowledge of FAR/DFARS and/or government regulations
+ Law, business, or relevant advanced degree
+ Experience/familiarity with large federal programs
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off, and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $84,600 - $127,000
**Salary Range 2:** $104,900 - $157,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Sr Principal Classified Cybersecurity Analyst2024-03-14T06:15:42-04:00https://northropgrumman.jobs/A18DFDF1B955407B82DB0C1058843C9B26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Information Systems Security Professionals, We Want You!**
Cyber assets everywhere are under siege from a wide spectrum of threats. Almost daily, these threats grow in sophistication, breadth and speed.
Support our entire enterprise and build the networks, staff the teams, and develop the processes that will keep us defining what's possible. We have opportunities across our enterprise with 90,000 team members throughout the world. The only limit to your growth is your ambition and drive. We want to empower you to bring your best, with resources, support, and talented team members that will launch your career.
At Northrop Grumman, we take a holistic approach to cybersecurity, looking at the whole cyber landscape of people, processes and technology and the whole security realm of offense, defense, and exploitation. Thought leadership demands nothing less.
If you are an expert with classified computers within the Department of Defense (DoD) and Intelligence Community computing environments, Northrop Grumman Corporation has fantastic opportunities for your career growth.
We are seeking experienced **Information Systems Security Professionals** across the country to support information systems lifecycle activities.
Responsibilities will include (but not limited to):
+ Perform assessments of systems and networks within the networking environment or enclave and identify where those systems and networks deviate from acceptable configurations, enclave policy, or local policy.
+ Establish strict program control processes to ensure mitigation of risks and supports obtaining certification and accreditation of systems. Includes support of process, analysis, coordination, security certification test, security documentation, as well as investigations, software research, hardware introduction and release, emerging technology research inspections and periodic audits.
+ Assist in the implementation of the required government policy, make recommendations on process tailoring, participate in and document process activities.
+ Perform analyses to validate established security requirements and to recommend additional security requirements and safeguards.
+ Support the formal Security Test and Evaluation (ST&E) required by each government accrediting authority through pre-test preparations, participation in the tests, analysis of the results and preparation of required reports.
+ Document the results of Certification and Accreditation activities and technical or coordination activity and prepare the system Security Plans and update the Plan of Actions and Milestones POA&M.
+ Periodically conduct a complete review of each system's audits and monitor corrective actions until all actions are closed.
This is an Information Systems Security Manager (ISSM) level opportunity. If you are ready to solve complex problems in a dynamic environment, apply today!
**Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.**
**Basic Qualifications:**
+ Master's Degree with 7 years of experience; OR a Bachelor's Degree with 9 years of experience; OR an Associate's Degree with 11 years of experience; OR a High School Diploma/GED with 13 years of experience is required
+ **Must have a current DoD 8570 IAM level III security certification** (CISM, GSLC, CCISO, CISSP)
+ Candidates **must have a current DOD** **To** **p** **Secret** security clearance (at a minimum) to include a closed investigation date completed within the last 6 years in order to be considered
+ Must have the ability to obtain, and maintain, access to Special Programs as condition of continued employment
**Preferred Qualifications:**
+ The ideal candidate will have a Bachelor's degree in Cyber Security, a DoD 8570 IAM level III security certification (CISM, GSLC, CCISO, CISSP), and 10 years of experience with Certification and Accreditation of classified systems and Risk Management Framework
+ Knowledge of ACAS, NESSUS, SPLUNK, SCAP, POA&Ms, NIST, DIACAP, NISPOM, system audits, vulnerability scanning, and RMF package development preferred
+ Current Top Secret with SAP/SAR access level is highly desirable
**Salary Range:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Windows Systems Administrator 3/42024-03-14T06:15:42-04:00https://northropgrumman.jobs/CEDCBD04E41741EFB1F2F71BE64CBEC426At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Develops computer systems specifications that address business requirements and that fit with the company's system architecture standards. Establishes and documents system parameters and formats, ensures hardware and software systems compatibility and coordinates and/or modifies system parameters in terms of existing and projected computer capacity and capabilities. Revises existing systems and procedures to correct deficiencies and maintain more effective data handling, conversion, input/output requirements, and storage.
Northrop Grumman Classified Solutions is seeking a well-qualified Windows Systems Administrator to join its dynamic team of technical professionals in Palmdale, CA. Please note that due to the classified nature of this position, the selected candidate will be required to work on-site, full-time, at our Palmdale campus, and that this is not a remote work opportunity. Roles and responsibilities will include:
+ Perform as primary Windows Systems Administrator for a small to medium sized classified government contract.
+ Communicate effectively at all levels of the organization, with internal and external customers, in written and verbal format.
+ Maintain smooth operation of multi-user computer systems, including coordination with network, software, and system engineers, PC desktop technicians, project managers, end users, and customer and IT management.
+ Support multiple networks while ensuring necessary administration tasks are completed.
+ Analyze internal and external customer requirements; provide potential solution based on standard hardware and software.
+ Recommend and implement system enhancements to improve performance and reliability of system, to includes installing, upgrading/patching, monitoring, problem resolution, and configuration management.
+ Provide backup and recovery services, manage file systems and disk space, and manage virus protection on a routine basis.
+ Create and maintain user and computer accounts and modify file permissions and security access lists.
+ Test new releases of products to ensure compatibility and minimize user impact.
+ Develop and document technical processes and procedures as needed.
+ Make recommendations to purchase hardware, software, and system components.
+ Interact, meet, discuss, and troubleshoot issues with vendors and evaluate vendor products, services, and suggestions.
+ Adhere to strict Information Systems security guidelines in all cases.
+ Maintain security audit and logging information on all networked and standalone computers in a classified environment, as directed by the Information Systems Security Manager (ISSM).
+ Prepare security documentation for input to Computer Security.
+ Report project status as required for all recurring and non-recurring efforts.
+ Work under minimal direction and independently determine and develop approach to solutions.
This Position may be filled at a higher level based on the requirements below.
**Basic Qualifications Level 3:**
+ Bachelors Degree and 5 years experience. 4 additional years of experience and/or education can be substituted for the degree.
+ C andidates must have a current DOD **Top** **Secret** level security clearance (at a minimum) in order to be considered
+ Experience operating under and managing systems within current applicable information systems compliance standards.
+ Windows desktop support.
+ Active Directory (AD) users and computers.
+ Assist with the deployment of updates.
+ Helpdesk support experience.
+ Vulnerability scanning tools.
**Basic Qualifications Level 4:**
+ Bachelors Degree and 9 years experience. 4 additional years of experience and/or education can be substituted for the degree.
+ Candidates must have a current DOD **Top** **Secret** level security clearance (at a minimum) in order to be considered
+ Experience operating under and managing systems within current applicable information systems compliance standards.
+ Windows desktop support.
+ Active Directory (AD) users and computers.
+ Assist with the deployment of updates.
+ Helpdesk support experience.
+ Vulnerability scanning tools.
Non-Technical Abilities and Certifications:
+ Experience working in a team of IT professionals under direct supervision.
+ Ability to travel as needed.
+ Ability to lift equipment weighing up to 40 pounds
+ Ability to work after hours and weekends as needed.
+ Currently valid Security+ CE, CASP, CISSP, or similar DoD 8570 security certification required on Day 1; certification must be maintained as a condition of continued employment.
**Preferred Qualifications:**
+ SQL Experience
+ Ansible
+ Cohesity/Backup Exec
**Salary Range:** $97,500 - $146,300
**Salary Range 2:** $120,900 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-AL-Huntsville) Principal Engineer Field AHT2024-03-14T06:15:41-04:00https://northropgrumman.jobs/04068F74CB7C4E0DB7E77D446DFA75D826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**BOLDER -** **FAAD C2 Field Engineer**
Job Description
Are you interested in expanding your career through experience and exposure, all while supporting a mission that seeks to ensure the security of our nation and its allies? If so, then Northrop Grumman is the place for you. As a leading global security company, we provide innovative systems, products and solutions to our customers worldwide. We are comprised of diverse professionals that bring different perspectives and ideas, understanding that the more experiences we bring to our work, the more innovative we can be. As we continue to build our workforce, we look for people that exemplify our core values, leadership characteristics, and approach to innovation.
Are you ready to join Northrop Grumman? Put your experience to work as a Field Service Engineer (FSE) to assist with the implementation and execution of worldwide Field Service operations supporting the US Army customer Integrated Fires Program Office.
This position is in Huntsville, Alabama with long- and short-term deployments to various OCONUS locations.
Duties include:
+ Conduct technical analysis of product implementations, modifications, and enhancements in accordance with customer specifications and requirements
+ Troubleshoots technical problems and issues, determine technical solution in accordance with product customer specifications, and recommend actions to company or customer representatives for coordinative product solution
+ Assess product needs in accordance with customer specifications
+ Conduct technical training and product briefing with customers, vendors, and company representatives
+ Ability to act as the field liaison with customer personnel, providing on-site support required for the planning and implementation of product training, checkout, and test events
+ Perform start-up testing and customer training on features and general maintenance of product
+ Provide the technical interface with product level integrated product teams
+ Conduct technical training and product briefing with customers, vendors, and company representatives
+ Ability to lift 40 Lbs.
Basic Qualifications:
+ Bachelor's degree with a minimum of 5 years: Masters with 3 years' experience in Software, Hardware, IT, Networking. 8 years' experience in Software, Hardware, IT, Networking in lieu of a degree
+ Current Secret Security Clearance
+ Must be familiar with C-RAM, C-UAS or IBCS architectures
+ Must be able to meet all pre-deployment standards to include medical/immunization requirements
+ Must be able to travel domestically and internationally with little to no notice
+ Experience supporting defense systems field testing (First Article, Design Variation, or Environmental)
+ US Citizenship required
Preferred Qualifications:
+ Air Defense experience in a 14 series MOS or related field
+ Experience performing operator and administrative functions on the Forward Area Air Defense (FAAD) Command and Control (C2)
+ Currently reside in Huntsville, or be willing to relocate
+ Experience with network configuration, troubleshooting, and an understanding of the OSI model and network architectures
+ ADAM Cell, AMDPCS FAAD Shelter and/or Battery Command Post experience
+ Experience supporting defense systems field testing (First Article, Design Variation, and Environmental)
+ Must be located in or willing to relocate to Huntsville, AL
+ Possess CompTIA LPI Linux+ certification or able to obtain within 6 months of hire date
+ Deployment experience in a field technical support role
+ Ability to pass medical and pre-deployment requirements per the CONUS Replacement Center standards in support of OCONUS Deployment to ARCENT, AFRICOM, CENTCOM, or EUCOM regions. Pre-deployment information can be found at: CONUS Replacement Center (army.mil)
**Salary Range:** $84,600 - $127,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Sr Principal Electronics Engineer2024-03-14T06:15:41-04:00https://northropgrumman.jobs/14E217BB6C9448FBA5EEE19CFAC1C87026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Emerging Capabilities Development Organization of Northrop Grumman Mission Systems is seeking an exceptionally talented, motivated, and creative **Senior Principal** **Electronics Engineer** for our Advanced Technology Lab (ATL) located outside of Baltimore, Maryland - where we design, manufacture, and test semiconductor products for internal and commercial production customers as well as emerging technology programs.
Northrop Grumman's ATL semiconductor foundry is a unique capability supporting a range of production microelectronic devices (Silicon, Silicon Germanium, Gallium Arsenide, Gallium Nitride, Silicon Carbide, Carbon Nanotubes) and providing leading-edge technology development in superconducting electronics. Our devices enable several Northrop Grumman's ground-based radars, avionic radars, and space systems. Join us for the chance to work with an amazing, experienced, and talented team while helping serve your country. Enjoy the opportunity to grow and learn with a variety of challenging projects in R&D, ongoing long-term programs, and new programs targeting future military platforms. Our multidisciplinary foundry team enables activities from development to sustainment process engineering. ATL is responsible for all aspects of semiconductor technology including design, mask making, wafer fabrication, test, and assembly. The candidate must be a strong team participant, have excellent communication skills, and be resourceful and multitalented. A strong understanding of semiconductor technology and microelectronic manufacturing test methodologies is essential.
The **Senior Principal** **Electronics Engineer** will support the development and execution of RF test for multiple technologies including GaN, GaAs, SiGe and SiC. The individual will be responsible for understanding test requirements, documenting test plans, and developing necessary software and hardware solutions. Administrative and technical responsibilities include test software and hardware development, daily and forward-looking test scheduling, test data integrity, equipment/software improvements, and supporting the procurement of test equipment. Additional responsibilities include, but are not limited to, ensuring configuration control of test software and hardware, test quality, test documentation quality, test equipment calibration, and compliance to customer documents (e.g., Source Control Documents). The candidate must exhibit excellent verbal and written communication skills.
\#NGATL
**Basic Qualifications:**
+ Bachelor's degree in Electrical Engineering or Microelectronics Engineering with 9 years of related experience or a Master's Degree with 7 years of experience or PhD with 4 years of related experience
+ Direct semiconductor RF test engineering experience
+ Direct experience in leading a team of engineers
+ Be able to obtain and maintain a U.S. Government security clearance (U.S. citizenship is a pre-requisite)
+ Software skills in a modern language such as C#, Python, C++ or Java
**Preferred Qualifications:**
+ Experience with wafer or die testing.
+ Direct hands-on experience building/repairing/ tuning RF test hardware
+ Direct experience in preparing and presenting presentations to cross-functional teams
**Salary Range:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-San Diego) Staff Airworthiness Engineer2024-03-14T06:15:41-04:00https://northropgrumman.jobs/82EFAFDF89974674A7A0821C569C7E2D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems sector has an opening for an **Staff Airworthiness Engineer** to join our team of qualified, diverse individuals within the **Office of Independent Airworthiness (OIAW)** . This position is based in **San Diego, CA. This position does have a hybrid schedule but need to be commutable distance to San Diego, CA.**
The Staff Airworthiness Engineer will be working within the Airworthiness Engineering Directorate supporting certification efforts on various air vehicle platforms. The selected candidate will ensure the logical and systematic realization of customer airworthiness certification objectives into system solutions to meet program needs throughout the product lifecycle (e.g. Flight Releases, Type Certification, continued airworthiness, and operation of airspace integration).
**Essential Duties:**
+ Performs technical airworthiness planning and verification support including development and negotiation of airworthiness plans, bases of certification, means of compliance, and other certification activities for complex total systems with IPT/REs as well as customer counterparts.
+ Reviews and coordinates with other airworthiness engineers as well as internal engineering disciplines performed across the total system to include design, fabrication, test, installation, operation, maintenance and disposal.
+ Performs functional and process analyses, requirements allocation and definition studies to translate customer requirements into criteria and derived requirements. Ensures the logical and systematic realization of customer airworthiness certification objectives into system solutions to meet program needs throughout the product lifecycle (e.g. Flight releases, Type certification, continued airworthiness, operations, and airspace integration).
+ Responsible for managing and maintaining Type Design Data and airworthiness evidence in support of a Military Type Certification and Air System Continued & Continuing Airworthiness as a member of the senior technical AW staff in a System Design Responsible company.
The selected candidate should thrive in a geographically dispersed and fast-paced work environment with high expectations, significantly diverse assignments, collaborative/team settings across all levels.
**Basic Qualifications:**
+ Bachelor's of Science Degree in a STEM (Science, Technology, Engineering, or Mathematics) discipline and 14 years engineering experience OR a Master's of Science Degree in a STEM discipline and 12 years of engineering experience OR a PhD Degree in a STEM discipline and 9 years of engineering experience
+ Familiarity of MIL-HDBK-516C
+ Work experience leading the development of Airworthiness plans, a Basis of Certification, and related activities
+ Work experience with US DoD and domestic airworthiness authorizations and type certifications
+ Ability to initiate Airworthiness Certification process improvement initiatives
+ Ability to obtain and maintain a DoD secret clearance
+ Ability to obtain and maintain Special Access Program (SAP)
**Preferred Qualifications:**
+ Military aircraft development or modification experience
+ DoD and Civil Airworthiness Experience
+ Design and Systems Engineering experience in one or more of the following areas: Structures, Flight Technology, Air Vehicle Subsystems, Avionics, Mission Payload Integration, System Safety, Flight Test, Configuration Management with an emphasis is on Mission Payload Integration
+ Experience with US Navy and USAF Airworthiness Policy Directives, Instructions, and Airworthiness Bulletins (AWB) especially NAVAIR Instruction 13034.1G and AWB-003
+ Control Account Management (CAM) and Earned Value Management System (EVMS) experience including Integrated Master Schedule (IMS) planning and tracking, Earned Value reporting, variance analysis, and Estimate at Complete (EAC) forecasting
+ Interactions with airworthiness authorities (US DoD, civil authorities both domestic and international, and Foreign Defense) with an emphasis on NAVAIR ACO and Class Desks
+ A current active U.S. Government in-scope DoD Secret or Top Secret security clearance
**Salary Range:** $165,000 - $247,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-San Diego) Sr Staff Engineer Systems- Chief Engineer2024-03-14T06:15:40-04:00https://northropgrumman.jobs/BC3293A3D3B345969D8A06E501CA9C3A26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
We are looking for you to join our team as a Senior Staff Systems Engineer with our Mission Systems Sector, based out of San Diego, CA.
**What You'll Get to Do:**
Northrop Grumman Mission Systems has an immediate opening for a Senior Staff Systems Engineer who will be the Battlefield Airborne Communications Node (BACN) Portfolio Chief Engineer. The Senior Staff Systems Engineer will be responsible to support the Joint All-Domain Gateway (JAD-G) Operating Unit (OU), within the Networked Solutions (NS) Business Unit. This position will be located in San Diego, CA.
As a Senior Staff Systems Engineer at Northrop Grumman, you will have a challenging and rewarding opportunity to be a part of our Enterprise-wide digital transformation. Through the use of Model-based Engineering, DevSecOps, and Agile practices, we continue to evolve how we deliver critical national defense products and capabilities for the warfighter. Our success is grounded in our ability to embrace change, move quickly and continuously to drive innovation. The successful candidate will be collaborative, open, transparent, and team-oriented with a focus on team empowerment & shared responsibility, flexibility, continuous learning, and a culture of automation.
Program Responsibilities:
+ Battlefield Airborne Communications Node (BACN) Portfolio Chief Engineer, responsible for technical leadership, consultation, and proposal review across all BACN Operations, Sustainment, and Support (BOSS) Task Orders (TO)
+ Technical support of Operating Unit (OU) and Business Unit (BU) Business Area Reviews across BACN
+ Support development of Rough Order of Magnitude (ROM) estimates for future BACN contracts
+ Support and lead proposal efforts, to include oversight of Basis of Estimate (BOE) creation, Technical Volume creation, and Integrated Master Schedule (IMS) inputs.
+ Interface regularly with Air Force customer Chief Engineer, PMs, and contracts team
+ Assist with leading Agile scrum teams to execute BACN contracts.
+ Collaborate with cross-discipline teams to develop innovative solutions to resolve challenging problems.
+ Prepare for and conduct presentations and demonstrations to customers, program leadership, and other stakeholders.
**Basic Qualifications:**
+ Bachelor of Science (BS) degree in Software, Computer, Electrical, or Mechanical Engineering, or other STEM field with 14 years of related experience; 12 years of related experience with a Masters (MS) degree; 10 years of related experience with a PhD
+ Experience supporting large networking and communications program(s), preferably in an engineering leadership role.
+ Experience briefing technical topics directly to customer and senior leadership, and problem-solving technical issues.
+ Clearance: Must have an active/current Secret Clearance
+ Ability to travel 10% of your time.
**Preferred Qualifications:**
+ Active/Current Top Secret Clearance
+ Experience with contracting proposals, to include creating estimates for labor and material in response to government Request for Proposal (RFP)
+ Experience with the full product lifecycle, from requirements definition to manufacturing to operations and sustainment.
+ Experience developing, releasing, and approving engineering drawings, and associated Configuration Management processes.
+ Previous experience as a Chief Engineer in a defense contracting role on a military networking or communications program
+ Systems Engineering experience to include Analysis of Alternatives and requirements development
+ Model-Based Systems Engineering (MBSE) experience with tools such as Cameo
+ Experience as an assigned Control Account Manager (CAM) on a government contract
+ Knowledge of Earned Value Management (EVM) and Control Account Manager (CAM) responsibilities.
**Salary Range:** $183,100 - $274,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Sr. Principal Electronics Engineer (DoD Secret required)2024-03-14T06:15:40-04:00https://northropgrumman.jobs/BC986230B5F9467F9E95BE57C27CAB2326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The **Payload and Ground Systems** organization within the **Northrop Grumman Space Systems** pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
**Payload and Ground Systems Division- Remote Sensing Programs (PGS RSP)** is a strategic partner specializing in delivering intelligence, surveillance, and reconnaissance solutions for classified and unclassified missions in space. Headquartered in Linthicum, Maryland, PGS RSP has offices, manufacturing, and world-class assembly, integration, and test facilities. We do it all here, on-site, from sensors to payloads to full up spacecraft, supporting Class A to Class D missions. Our key capabilities include Active RF, Passive RF, and Electro-Optical payloads, space solar power, cross domain missions, and space resilience payloads & solutions.
We have an opening for an experienced **Sr.** **Principal Electronics Engineer** to join our team of qualified, diverse, and talented individuals in our Space Systems organization. This person will be responsible for the design and fabrication of the Electrical Ground Support Equipment (EGSE) suite of test sets. The EGSE test sets are used to checkout, integrate, and operate Flight hardware during ground test. These test sets provide power, control, telemetry, safety interlocking and cooling. This candidate will be part of a small EGSE Design Team supporting a few programs.
**Responsibilities include but are not limited to:**
+ Panel & cable design, electrical interconnects & schematics, developing acceptance criteria & certification procedures, and hardware checkout/troubleshooting.
+ Root cause determination, problem resolution and documentation are expected.
+ Interface with subsystem test engineers, test operators, technicians, systems administrators, security team, and vendors to provide support for various test set tasks as required.
+ Full design cycle of EGSE, from conception through design to fabrication and integration/test.
**Basic Qualifications:**
+ An active/current DoD Secret clearance is required to be considered.
+ The ability to obtain and maintain a TS/SCI.
+ BS in a STEM discipline with 9+ years of related experience, OR a master's degree in a STEM discipline with 7+ years of related experience, OR Ph.D. with 4+ years of experience
+ Proficient in hardware design to include panel and/or cable design, schematic layouts, etc.
+ Minimum of 3 years' experience in hardware design
**Preferred Qualifications:**
+ An active/current TS/SCI is highly preferred.
+ Advanced degree in Electrical Engineering or related STEM discipline.
+ Proficient with hands-on troubleshooting with electrical hardware.
+ Proficient with integrated (analog, power, digital) hardware design
+ Proficient with lab test equipment, such as DMMs, O-Scopes, or related.
+ Proficient with electrical design tools (e.g., Zuken, AutoCAD, PSpice)
+ Proficient in Test Automation Software (LabView, TCL, MatLab)
**Salary Range:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Northridge) Principal / Sr Principal Engineer - Operations Research2024-03-14T06:15:40-04:00https://northropgrumman.jobs/58AE8FEF0EA04178B80D01CC02453C4026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Weapons Business Unit** is currently seeking a **Principal / Sr Principal Engineer - Operations Research** for our Advanced Programs Software, Modeling, and Simulation team in **Northridge, CA.**
Our work influences the investment decisions of our senior leadership and customer program offices. The Modeling and Simulation discipline is a mesh of many other fields (e.g. Systems Engineering, Aerospace Engineering, Software Engineering, etc.) and frequently collaborates across functional disciplines, offering a unique opportunity to obtain a broad understanding of many areas or to more narrowly focus on developing a deep expertise in any one of the relevant fields. In this role, you will be a member of a team that performs the following tasks: simulation tool and infrastructure development, model development, scenario creation, designing focused vignette threads and performing analysis. The analysis includes a wide variety of system types and spans multiple domains (friendly and adversary; ground, maritime, air and space). You will work with air vehicle and subsystem engineers, as well as with program and customer leadership to design and develop standalone and/or distributed simulation tools/infrastructure as well as performing system modeling and analysis at the system, mission, and /or campaign levels. The selected candidate will be responsible for developing new and / or integrating existing system simulation frameworks, performance models and algorithms, threat models and command and control models.
Duties and Responsibilities may include:
+ Develop and apply advanced software and systems methods that support the investigation, analysis and solution of complex and advanced technical problems.
+ Develop and use tools that support the visualization of the battlespace at a mission or engagement level
+ Use, develop, and modifying tools to support data analysis of simulation or flight test results
+ Model operational environments, performs trade studies via computer simulation, and recommends alternative architectures
+ Develop software to model behavior of systems in real-time and constructive simulations
+ Develop, integrate, and use advanced graphical user interfaces and visualization tools
+ Perform mission simulation using government or industry standard tools to determine mission effectiveness
+ Perform engagement simulation using standard tools, MATLAB, Simulink and others.
+ Perform modeling and simulation studies that optimize mission performance for weapon systems across the air, land, sea, space and cyber domains
+ Perform modeling, simulation, and analysis tasks assessing system survivability, system effectiveness, and overall system performance in engagement / mission-level scenarios.
+ Develop performance models and algorithms, threat models and command and control models for new and/or existing system simulation frameworks.
+ Solicit input from various stakeholders, synthesize data, and communicate results to various levels of leadership within the organization
+ Participate in Agile engineering project frameworks
**This position may be filled as a level 3 (T03) or a level 4 (T04). Basic qualifications for each level are listed below.**
Basic Qualifications for a **Principal Engineer - Operations Research (** T 03) are:
+ High school diploma/GED and a minimum of 9+ years of experience in modeling, simulation, and analysis of Aerospace Systems, Systems Analysis, and Design.
+ BS in Computer Science, Engineering, Math, or Physics AND 5+ years modeling, simulation, and analysis of Aerospace Systems, Systems Analysis, and Design, OR
+ MS in Computer Science, Engineering, Math, or Physics AND 3+ years modeling, simulation, and analysis of Aerospace Systems, Systems Analysis, and Design
+ **Current/active U.S Government issued DoD Secret Clearance; clearance must have been issued/adjudicated in the past five (5) years
Basic Qualifications for a **Sr Principal Engineer - Operations Research** (T04) are:
+ High school diploma/GED and a minimum of 13+ years of experience in modeling, simulation, and analysis of Aerospace Systems, Systems Analysis, and Design, OR
+ BS in Computer Science, Engineering, Math, or Physics AND 9+ years modeling, simulation, and analysis of Aerospace Systems, Systems Analysis, and Design, OR
+ MS in Computer Science, Engineering, Math, or Physics AND 7+ years modeling, simulation, and analysis of Aerospace Systems, Systems Analysis, and Design, OR
+ PhD. Computer Science, Engineering, Math, or Physics AND 4+ years modeling, simulation, and analysis of Aerospace Systems, Systems Analysis, and Design
+ **Current/active U.S Government issued DoD Secret Clearance; clearance must have been issued/adjudicated in the past five (5) years
**Preferred Qualifications**
+ Comfortable with high level programming languages such as C/C++.
+ Strong software development principles with the ability to manage computer resources for data throughput, processes and distributed systems.
+ Experience developing and using analysis tools such as MATLAB, Python, VBA, or similar tools
+ Experience with network programming and protocols
+ Comfortable developing in multiple operating systems as well as integrating systems across OS boundaries. (Windows/Linux)
+ Strong debugging skills, ability to use common debuggers, compilers and command line execution.
+ Ability to develop shell scripts for program execution
+ Knowledge of and experience with software engineering development lifecycle processes
+ Experience with version control tools (Bitbucket, GitHub, or Gitlab, etc.)
+ Experience integrating custom plug-ins to AFSIM
+ Experience with working with and programming distributed simulation protocols such as DIS or HLA
+ Experience performing modeling, simulation, and analysis tasks assessing system survivability, system effectiveness, and overall system performance in engagement / mission-level scenarios.
+ Knowledge of RF Threat Modeling, of Electronic Attack techniques and modeling, guided missile design
+ Knowledge of any of the following simulation frameworks: AFSIM, Suppressor, Brawler, JWS, AJEM, ESAMS
+ Familiarity with Agile, MBSE, and / or system architecture frameworks
+ Effective project management skills and good communication techniques
+ Strong interpersonal and leadership skills , e xcellent communications skills, including the ability to present and brief technical data to all levels of leadership within the organization and U.S. Government
+ Proposal development, writing or execution experience
*Living in Northridge offers a perfect combination of urban living with the beauty of nature. It is a short drive away from downtown Los Angeles, the Hollywood Hills, or the rest of the San Fernando Valley.
Take advantage of your flexible work schedule to enjoy shopping at the local Northridge Fashion Center or spend time at the local Recreation Center as well as easy access to nearby protected natural areas such as the Chatsworth Reservoir, the Santa Susana Pass State Historic Park, Lake Balboa or within driving distance, spend a day at the beach or snow ski at our local mountains. With Cal State Northridge right in the heart of town, the area has an active college-town energy combined with family-friendly suburban charm. The stunning southern California climate makes for year-round easy living. With an average of 284 sunny days during the year , it is safe to say you'll have plenty of time to enjoy your outdoor activities to the fullest.
\#definingpossible
**Salary Range:** $107,300 - $160,900
**Salary Range 2:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Principal Project Management / Sr. Principal Project Management2024-03-14T06:15:39-04:00https://northropgrumman.jobs/067C5B43814E46CAA7E8841F3DEE2C6826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Principal Project Management / Sr. Principal Project Management** to join our team of qualified, diverse individuals in **Palmdale, CA.**
Managing and maintaining the day-to-day interfaces and communications between IPT Leadership, Manufacturing, Manufacturing Engineering, Industrial Engineering, Global Supply Chain (GSC), Mission Assurance (MA), and Engineering.
Analyze and coordinate the schedule and staffing for Coatings IPT, based on program requirements, to support build and facilities integration.
Lead and guide the various coordination meetings (i.e. OOS, Facilities integration, and Manpower Planning) with program leadership, industrial engineering, program integration and technical staff.
Development and implementation of necessary status tools and business analytics to support IPT progress and achieving targeted cost and schedule requirements.
Facilitating internal meetings in a way to support design, production, and problem resolutions.
Creating / managing any applicable manufacturing risks and/or opportunities from the program risk register.
Supporting and generating proposal documentation as needed to foster new work.
**Basic Qualifications Principal Project Management:**
+ Minimum of a Bachelor's Degree with 4 or more years of project management experience and/or experience in a production or operations environment; OR a Master's Degree with 3 or more years of project management experience and/or experience in a production or operations environment.
+ Experience with MS Office applications including Excel, Word, Project, and Power Point
+ Experience with cost, schedule, project leadership, and/or process improvement
+ Must have a current and active DoD Secret level security clearance with a periodic re-investigation.
+ Ability to obtain and maintain Special Program Access.
+ Ability to travel 10% of the time.
+ Ability to work any shift.
**Preferred Qualifications:**
+ Active Strike / Special Program Access
+ Project Management Professional (PMP) Certificate or similar.
+ IPT schedule integration across multiple programs with experience in leading people and/or project management.
+ Aerospace and/or Defense industry Experience.
+ At least 2 years of experience supporting paint and coatings manufacturing processes.
**Basic Qualifications Sr. Principal Project Management:**
+ Minimum of a Bachelor's Degree with 8 or more years of project management experience and/or experience in a production or operations environment; OR a Master's Degree with 6 or more years of project management experience and/or experience in a production or operations environment.
+ Experience with MS Office applications including Excel, Word, Project, and Power Point
+ Experience with cost, schedule, project leadership, and/or process improvement
+ Must have a current and active DoD Secret level security clearance with a periodic re-investigation.
+ Ability to obtain and maintain Special Program Access.
+ At least 4 years of experience supporting paint and coatings manufacturing processes.
+ Ability to travel 10% of the time.
+ Ability to work any shift.
**Preferred Qualifications:**
+ Active Strike / Special Program Access
+ Project Management Professional (PMP) Certificate or similar.
+ IPT schedule integration across multiple programs with experience in leading people and/or project management.
+ Aerospace and/or Defense industry Experience.
+ At least 4 years of experience supporting paint and coatings manufacturing processes.
+ Experience working in a fast-paced manufacturing / Assembly line setting.
**Salary Range:** $88,700 - $133,100
**Salary Range 2:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Edwards AFB) Principal / Senior Principal Test Conductor - FTO Ground Test2024-03-14T06:15:39-04:00https://northropgrumman.jobs/0F5175B28B88457C8B0C0018BFB9540D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for **Principal / Senior Principal Test Conductor - Flight Test Organization (FTO) Ground Test** to join our team of qualified, diverse individuals within our Test and Evaluation organization. This position will be located in **Edwards AFB, CA** .
**This requisition may be filled at either the Principal OR Sr. Principal level based on qualifications listed, below**
In this role, candidate will plan and schedule test events, work with Responsible Engineers (REs) to develop test procedures, perform test article operations - both on and off an active flight line - and maintain a test point data base within the Strike FTO team. They will plan, coordinate, and conduct test operations as an approved test conductor, to include test deck preparation, engineering briefs/debriefs, and will lead our team through test missions as the link between the Maintenance team and the Mission Control Room Flight Test Engineering and Test Operations teams.
**Key Responsibilities:**
+ Provide support for post-test data processing and analysis as required to support test objectives
+ Provide first line engineering support for maintenance, repairs, and modifications to systems under test, supervision, and direction to maintenance personnel for maintenance, repairs, and modifications to systems under test
+ Analyze and interpret test data, provide recommendations to engineering and leadership for alternate means of compliance as required, and support development and execution of test objectives.
**The selected Candidate must be willing to work various shifts depending on the business needs: 4x10 schedule (Mon-Thurs) 1st shift; 4x10 (Mon-Thurs) 2nd shift; 3x10 schedule (Fri, Sat, Sun) 2nd shift; 3x10 schedule (Fri, Sat, Sun) 1st shift.**
We offer flexible work arrangements, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
**Basic Qualifications (Principal):**
+ Must have 9 years of qualifiable, related professional/military experience in lieu of a degree to include a background in a system test environment OR an Associate of Science degree AND 7 years of qualifiable, related professional/military experience to include a background in a system test environment OR a Bachelor of Science degree AND 5 years of qualifiable, related professional/military experience to include a background in a system test environment OR a Master of Science degree AND 3 years of qualifiable, related professional/military experience to include a background in a system test environment OR a Ph.D. AND 0 years of qualifiable, related professional/military experience to include a background in a system test environment
+ Must have an active DoD Secret or higher clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation)
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance prior to the commencement of employment
+ Must be willing and able to work various shifts depending on the business needs: 4x10 schedule (Mon-Thurs) 1st shift; 4x10 (Mon-Thurs) 2nd shift; 3x10 schedule (Fri, Sat, Sun) 2nd shift; 3x10 schedule (Fri, Sat, Sun) 1st shift.
**Basic Qualifications (Sr. Principal):**
+ Must have 13 years of qualifiable, related professional/military experience in lieu of a degree to include a background in a system test environment OR an Associate of Science degree AND 11 years of qualifiable, related professional/military experience to include a background in a system test environment OR a Bachelor of Science degree AND 9 years of qualifiable, related professional/military experience to include a background in a system test environment OR a Master of Science degree AND 7 years of qualifiable, related professional/military experience to include a background in a system test environment OR a Ph.D. AND 4 years of qualifiable, related professional/military experience to include a background in a system test environment
+ Must have an active DoD Secret or higher clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation)
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance prior to the commencement of employment
+ Must be willing and able to work various shifts depending on the business needs: 4x10 schedule (Mon-Thurs) 1st shift; 4x10 (Mon-Thurs) 2nd shift; 3x10 schedule (Fri, Sat, Sun) 2nd shift; 3x10 schedule (Fri, Sat, Sun) 1st shift.
**Preferred Qualifications:**
+ BS, MS, or PhD degree in a STEM (Science, Technology, Engineering, or Math) discipline
+ Experience that includes test planning, execution, and operations
+ Experience in an aircraft maintenance/operations environment
+ Active DoD Top Secret clearance
+ Active Special Program Access (SAP)
**Salary Range:** $97,500 - $146,300
**Salary Range 2:** $120,900 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MO-Saint Charles) Designer 22024-03-14T06:15:39-04:00https://northropgrumman.jobs/3C0CAA172735458483CB2EC5432EECA126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
An organization within Northrop Grumman Space Systems' Payload and Ground Systems (PGS) division, Cutting Edge Optronics is a leading provider of high-power laser diode arrays, high power pump modules and diode-pumped solid-state (DPSS) lasers. We are a vertically integrated manufacturer capable of supplying unmounted diode bars, packaged laser diodes, DPSS modules, laser diode drivers and complete DPSS laser systems. Our diode laser-based products have become industry standards and are used in a wide variety of commercial and military applications.
**Job Duties:**
+ Designs components or portions of systems and modifies existing designs to develop or improve products and facilitate manufacturing operations.
+ Utilizes computer-aided design equipment and/or graphic tools such as, CAD, CAM or CATIA.
+ Utilize computer-aided design equipment and/or graphic tools such as, CAD, CAM or CATIA.
+ Gathers information, makes studies, computes calculations, and prepares original rough layouts, sketches to present design proposal.
+ Coordinates design criteria with engineering, manufacturing, tooling, material, and planning group such as ease of manufacture, availability of materials, and contractual specifications. Exclude classifications in which incumbents predominantly perform drafting assignments.
**Basic Qualifications:**
+ 2 years of direct/related system design experience.
+ High school diploma or GED
+ Proven experience with computer-aided design equipment and/or graphic tools such as, CAD, CAM or CATIA.
+ Willingness and capability to learn new tasks.
+ Good communication skills to effectively interact with peers for training and work flow coordination.
**Preferred Qualifications:**
+ Experience related to CAD Design Engineering.
+ 2-year technical associate's degree.
+ Experience with Microsoft Office software (Outlook, Word, Excel and PowerPoint).
+ Quality experience.
**Salary Range:** $52,500 - $87,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-GA-Robins AFB) Manager Aircraft Maintenance 2 - Secret - Robins AFB2024-03-14T06:15:39-04:00https://northropgrumman.jobs/EC6B5824D9C34BF2AE96D05CDED4CADF26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Are you ready to put your leadership to work at Northrop Grumman? If so, Our Defense Systems Sector wants you to join our Team as a Manager of Aircraft Maintenance Level 2.
This position is located at Robins AFB, GA and requires you to **work on site daily**
**No relocation assistance** is available.
This position **requires** that you work **any shift as needed, when needed** .
This position operates on a day-to-day basis from Robins AFB, GA (the E-11A Main Operating Base or MOB) delivering E-11A aircraft maintenance to support daily USAF aircrew flight operations to include periodic offsite military exercises. The position reports directly to the overall MOB site lead. In addition, the position requires the **short-noticeability deploy** OCONUS for periods of up to **180** days to support BACN E-11A maintenance operations when/where the USAF customer requirements dictate.
Once employed for the role **- you must be willing and able** to travel normally **within 48-72 hours of notification of the travel** or as tasked.
You will be responsible for leading a maintenance team of 30+ aircraft mechanics, avionics technicians, aircraft shift leads, and ground support equipment technicians in execution of aircraft maintenance to meet all USAF E-11A mission requirements from its CONUS base location.
You will also manage support of offsite aircraft maintenance activities during aircraft diversions and other offsite maintenance activities.
**Role Specifics**
1. Primary responsibility is for the maintenance generation of a USAF E-11A [Bombardier BD-700 commercial derivative aircraft (CDA)] fleet.
2. Manage all aspects of aircraft maintenance to include planning, execution, quality control, launch and recovery, and direct support to USAF pilots and other personnel.
3. Responsible for site specific technical statement of work / performance and overall site operations.
4. Responsible for all site day-to-day administrative activities of all programs assigned Northrop Grumman Defense Systems (NGDS) personnel to include employee timesheets, performance evaluations and employee feedback, employee corporate charge card, NGDS and USAF property control, facilities, safety, security, and daily production.
5. Direct the work of employees assigned from technical aircraft maintenance areas.
6. Provide leadership, guidance, and career development to all site employees.
7. Ensure adherence to Northrop Grumman and Defense Systems Human Resources policies.
8. Maintain close coordination with the program manager and is responsible for direct support of NGDS customer/end user.
9. Maintain close coordination with USAF flying squadron leadership, Battlefield Airborne Communications Node - BACN payload team, USAF & Northrop Grumman Mission System [NGMS] to synchronize aircraft maintenance activities with USAF flying requirements and BACN payload maintenance / upgrades.
10. Execute coordination with assigned Headquarters Air Combat Command Acquisition Management and Integration Contracting Office Representatives on site to including addressing contract non-conformances as it relates to aircraft maintenance, logistics, and the overall sustainment of the CONUS E-11A aircraft fleet.
**Basic Qualifications**
1.High School diploma or equivalent
**AND**
At least 9 years of Transport/Airlift category maintenance management experience.
A bachelor's degree and 5 years of Transport/Airlift category maintenance management experience may be considered.
2.Experience in leading/managing large maintenance organization of 20+ personnel.
3.Possess or able to quickly obtain a DoD secret security clearance
4.Ability to obtain US Passport
5.Military/commercial large aircraft production superintendent expertise
6.Military/commercial large aircraft maintenance experience to include flight line maintenance and expediter expertise.
7.Ability to obtain and maintain a Northrop Grumman Corporate Travel Card.
8.Compliance with US Gov't/Department of Defense medical and deployment requirements.
9.Applicants must at a minimum, meet or exceed medical requirements for worldwide travel, which include an annual physical.
**Preferred Qualifications**
FAA certificated A&P/Airframe & Powerplant
Bombardier BD-700 commercial derivative aircraft [CDA] and or Global XRS and Global 6000, USAF E-11A aircraft experience
Rolls-Royce BR-710 experience [5 or more years]
10 years transport category aircraft experience
Special Mission aircraft experience
DoD maintenance program experience [USAF maintenance highly preferred]
Versed in Microsoft applications including Outlook, Word, PowerPoint & Excel
NGSkills
**Salary Range:** $108,400 - $162,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Principal / Senior Principal Semiconductor Operations Engineer2024-03-14T06:15:37-04:00https://northropgrumman.jobs/5665C1761FDA4B4280F4B244275D075326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Northrop Grumman Microelectronics Center (NGMC) of Northrop Grumman Mission Systems is seeking a **Semiconductor** **Operations Engineer** to support Operations Engineering activities for our Advanced Technology Lab (ATL) - located outside of Baltimore, Maryland - where we design, manufacture, and test semiconductor products for internal and commercial production customers as well as emerging technology programs. Northrop Grumman's ATL semiconductor foundry is a unique capability supporting a range of production microelectronic devices (Silicon, Gallium Arsenide, Gallium Nitride, Silicon Carbide, Carbon Nanotubes) and providing leading edge technology development in superconducting electronics. Our devices enable a number of Northrop Grumman's ground-based radars, avionic radars, and space systems. Join us for the chance to work with an amazing, experienced, and talented team while helping serve your country.
Responsibilities:
+ Drive the maturation/automation of our lot delay tracking data analytics and notification systems
+ Quantify lot delays and assign causes
+ Analyze delay data and identify improvement actions
+ Communicate analyses to management and solicit resources for improvement actions
+ Plan and execute cross-functional continuous improvement projects to achieve lot cycle time goals
The Semiconductor Operations Engineer should have a demonstrated track record of working and communicating with upper management/customer representative as well as be a self-starter and able to work independently with minimal supervisor oversight.
\#NGATL
This requisition may be filled as a Principal Semiconductor Operations Engineer or Senior Principal Semiconductor Operations Engineer
**Basic Qualifications for Principal Semiconductor Operations Engineer:**
+ Bachelor of Science in Operations/Manufacturing, Industrial Engineering, Engineering or any related STEM degree w/5 years relevant industry experience or Masters with a minimum of 3 years relevant industry experience or PhD with 0 years experience
+ Experience in a manufacturing environment
+ Comfortable working in both office and cleanroom environments
+ C++, Python, APF Formatter working knowledge
+ Ability to obtain and maintain a Top Secret/SCI clearance
+ US Citizenship required
**Basic Qualifications for Senior Principal Semiconductor Manufacturing Engineer:**
+ Bachelor of Science in Operations/Manufacturing, Industrial Engineering, Engineering or any related STEM degree w/9 years relevant industry experience or Masters with a minimum of 7 years relevant industry experience or PhD with 4 years experience
+ Experience in a manufacturing environment
+ Comfortable working in both office and cleanroom environments
+ C++, Python, APF Formatter working knowledge
+ Ability to obtain and maintain a Top Secret/SCI clearance
+ US Citizenship required
**Preferred Qualifications:**
+ Semiconductor fabrication experience
+ TS/SCI clearance
**Salary Range:** $102,400 - $153,600
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-IL-Rolling Meadows) Prncpl Suply Chn Subcntrct Spec2024-03-14T06:15:37-04:00https://northropgrumman.jobs/AA3E5DD0482142D49F81F69DD04D3CEB26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Sources and procures specialized goods and services. Owns contractual management for the supplier. Responsible for source selection from contract proposal to execution phases. Coordinates and collaborates with key stakeholders such as contracts, engineering, quality, supplier development, planning, operations, program, and end-users. Develops subcontract specifications, work statements, and terms and conditions for the procurement of specialized materials, equipment, and/or services. Prepares bid packages, conducts bidders conferences, analyzes and evaluates proposals, negotiates subcontract provisions, selects or recommends subcontractors, writes awards, and administers resulting subcontracts. In conjunction with quality organization, evaluates and monitors supplier quality and reliability, as well as supplier ability to support required delivery schedules. Identifies strategies and opportunities to reduce cost, improve efficiency and manage risk through strategic and targeted negotiations. Manages performance of subcontracts and ensures compliance with all public law requirements as well as company (and business) policies and procedures including import/export regulations. Employs sound business practices and assesses supplier performance as part of follow-up activities. Ensures that appropriate documentation is provided to logistics/transportation & warehouse organization so that proper receipt is recorded. Manages and develops strategic/key suppliers. Reviews and approves supplier payment as needed. Utilizes process tools such as Lean Six Sigma with suppliers to drive quality,
efficiency and continuous improvement.
**Specific roles and responsibilities include:**
Interfacing and corresponding with suppliers
- Developing sourcing/evaluation strategies
- Supplier identification
- Source Selection
- Coordinating export control/security requirements
- Preparing and issuing RFPs with appropriate customer flow downs and terms & conditions
- Conducting bidders' conferences
- Conducting proposal evaluations
- Conducting negotiations to meet contract/program targets/schedules, and terms and conditions, payment terms for materials & services
- Ensuring compliance with FAR/DFARS regulations and purchasing policies & procedures
- Validating pricing and maintaining proper purchase order files with correct documentation
- Management of subcontract agreement modifications, which include coordination of required additions, deletions, or other modifications or formal documented communication to subcontractors
- Arranging and participating in supplier meetings and controlling and reporting supplier progress
- Evaluation of customer RFPs
- In conjunction with the program management teams, develops subcontract RFPs that include Statements of Work, Technical/Quality Specifications, Deliverable Requirements List and Schedules, Performance Assessment Requirements, terms and conditions, proposal preparation and pricing requirements/templates, compliance matrices, and supplier profiles
- Preparation of Non-Disclosure Agreements, Teaming Agreements, and Memorandums of Agreement
- Preparation and management of internal step reviews of new opportunities and formal customer proposal preparation
- Analyzes and evaluates subcontractor proposals, and negotiates deviations from work statements, schedules, and/or terms and conditions, while working closely with the program management team
- Provides subcontractor pricing, deviations from scope and/or terms and conditions, comprehensive cost analysis and justifications, and technical data assertions to the Business Management Group
- Interacts with the Contracts and Pricing Business Division during the proposal preparation phase
- Selects or recommends subcontractors, writes formal awards, processes internal justifications, and documents files in preparation for internal and external audits
- Administers resulting subcontracts, ensuring that all requirements are performed in accordance with the scope of work and delivery schedules.
**Basic Qualifications:**
+ Bachelor's degree and 6+ years of work experience in supply chain, contracts, or business management; government or related experience; will also consider master's degree and 4+ years of supply chain, contracts, business management, or related experience.
+ Strong communication skills (verbal and written), time management skills, and information management skills.
+ Detail-oriented, strategic thinking, and analytical.
+ Demonstrated interpersonal and people leadership skills; ability to leverage professional relationships.
+ Ability to work independently and apply sound judgment to problem-solving.
+ Proven multitasking ability; resilience when faced with multiple deadlines and competing priorities.
**Preferred Qualifications:**
+ Past experience performing successfully in a buying, supply chain planning, or supply chain program management role.
+ Active Security Clearance
+ Knowledge of U.S. government and industry supply chain practices
+ Working knowledge of SAP or 1ERP
+ Expertise in MS Word, Excel, and PowerPoint
+ Master's Degree, or other advanced degree (e.g. Law degree.)
**Salary Range:** $84,600 - $127,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Process Lead Manager 32024-03-14T06:15:36-04:00https://northropgrumman.jobs/12167E2FFC9B4F20B0D91118FB2E05A126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems sector has an opening for a Process Lead Manager 3 to join our team of qualified, diverse individuals within our Test and Evaluation organization. **This leadership role is located in Palmdale, CA.**
**POSITION OVERVIEW:**
The Process Lead Manager will lead, participate in, and provide oversight across functional homeroom operational activities in the Bombers Test Center.
**Key Responsibilities:**
+ Provide a wide range of support to the Test Center Director and Department Managers as needed-essentially acting as Chief of Staff for the Bombers Test Center.
+ Ability to serve as DOA for the Bombers Test Center Director when required.
+ Lead and provide oversight of the T&E Technical Review Board (TRB), and associated activities.
+ Lead the Test Center in the management and tracking of Independent Review Teams (IRT) in support of programmatic activities.
+ Lead in the collection and aggregation of Test metrics, and implementation of process improvement.
+ Serve as the lead integrator for Test Disciplines / Working Groups
+ Lead and or assist in continual Process development/improvement.
+ Lead the Test Planning Working Group, including BoE, Proposals and Metrics
+ Support monthly Test Center Reviews to the T&E directorate, ensuring the reporting of quality data and tracking / closure of action items.
+ Support the staffing team and ensure quality metrics reporting for the Test Center.
+ Receive, process, and respond to requests for information or nominations for various items: WISE/Techstarter/Awards, conference attendance, etc.
+ ERG Representation coordination
+ Manage Center SharePoint and Tableau to fix issues and create updates.
+ Pull unapproved timecard lists by Department to assist in identifying unapproved records.
+ Run regular Workday audits to verify administrative data (Cost Centers, location, SupOrg, etc.) is correct.
+ Coordinate submittal of new hires for Program Access Requests (PARs)
+ Pull accurate PAR status data for Center.
+ Assist in submitting new employees to SCUS
+ Set and coordinate various Engagement activities (staff meetings, town halls, skip levels, etc)
+ Working with Business Management, understand and monitor various Center functions (LRAP, allocation charging, capital, Indirect, etc)
**BENEFITS STATEMENT**
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement and respond vigorously to change.
**Basic Qualifications:**
+ Must have a bachelor's degree and 9 years of related professional / military experience, or a master's degree and 7 years of related professional / military experience.
+ Must have prior project management experience that includes oversight of engineering project or program activities.
+ Must be able to obtain and maintain a DOD Secret clearance within a reasonable time as dictated by the company.
+ Must have ability to obtain and maintain Program Access (PAR) within a reasonable period of time, as determined by the company to meet its business needs.
**Preferred Qualifications:**
+ Active DOD Top Secret clearance.
+ Experience supporting T&E Functional Homeroom activities and processes.
**Salary Range:** $149,400 - $224,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Melbourne) Level 2/Level 3 Facilities Engineer2024-03-14T06:15:36-04:00https://northropgrumman.jobs/5051BE15E2E64F6097433B9BD9A810A826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for either a **Level 2 or Level 3 Facilities Engineer** to join our team of qualified, diverse individuals. This position will be located **on site** in **Melbourne, FL.**
In this role, you will lead the execution and management of facilities projects that support the sustaining infrastructure and program facilitation requirements. This will include:
+ Gather, review, and finalize facility requirements data, equipment specifications, company or government restrictions, schedules, and construction feasibility
+ Plan, design, and oversee the reconfiguration, maintenance, and alteration of buildings, structures, equipment, and other facilities
+ Coordinate with Architectural and Engineering firms to develop design criteria and to prepare and review detailed drawings
+ Prepare Scopes of Work and supporting documents for procurement of design and construction services
+ Review cost estimates to include design, equipment, installation, labor and materials, construction, and other related costs
+ Inspect the construction and installation progress to ensure conformance with established requirements, plans, specifications, and schedules
+ Manage and execute multiple facilities projects simultaneously
+ Forecast and report on project status, including key metrics for risk, cost, and schedule
+ Produce and implement risk mitigation and schedule recovery plans as required
This position may be filled as either a Level 2 or Level 3 Facilities Engineer.
**Basic Qualifications for Level 2:**
+ Bachelor's Degree in STEM (Science, Technology, Engineering, Math) or Architecture/Construction with 2 years experience of project management, construction management, or related facilities experience
+ OR a Master's Degree with relevant experience
+ Experience with design contracts and design reviews
+ Ability to review and comprehend construction drawings and specifications
+ Ability to utilize Microsoft Office software applications including Outlook, Excel, PowerPoint, and Word
**Basic Qualifications for Level 3:**
+ Bachelor's Degree in STEM (Science, Technology, Engineering, Math) or Architecture/Construction with 5 years experience of project management, construction management, or related facilities experience
+ OR 3 years of experience with a Master's Degree
+ OR a PhD with relevant experience
+ Experience with design contracts and design reviews
+ Ability to review and comprehend construction drawings and specifications
+ Ability to utilize Microsoft Office software applications including Outlook, Excel, PowerPoint, and Word
**Preferred Qualifications:**
+ Experience with AutoCAD, Microsoft Project or other scheduling software
+ Experience with facility design and drafting, including space layouts and utilization plans
+ Experience with design and construction of classified facilities
+ Experience with Building Automation Systems and Controls
+ Experience with IT network requirements, design, and construction
We offer phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly collaborative workplace. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do. Does this sound like you?
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself! Every ERG is inclusive of all employees!
At Northrop Grumman, we are innovating-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule and a great 401K matching program.
**Salary Range:** $68,500 - $102,700
**Salary Range 2:** $84,200 - $126,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Apopka) Maintenance Technician 32024-03-14T06:15:36-04:00https://northropgrumman.jobs/7042222B2FCE429D9AED25A83BBDFAFC26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We are looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions.
By joining in our shared mission, we 'II support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you 'II have the resources, support, and team to do some of the best work of your career.
Start your future with a new mission, Northrop Grumman Mission Systems. Northrop Grumman Mission Systems, located in **Apopka, FL** has an immediate need for a **Maintenance Technician 3.**
The Maintenance Technician performs repairs and maintenance in plant or office facilities, or on machines and equipment. Typically performs work in one or more of the maintenance trades: electrical, mechanical, HVAC, carpentry, plumbing, painting, and machine and equipment repair. Conducts routine, periodic, or special inspections to determine repair and maintenance work necessary to prevent breakdowns of facilities, machinery, and equipment. Uses hand and power tools. Tests, inspects, troubleshoots, and repairs machines and equipment. Uses blueprints, sketches, layouts, wiring diagrams, drawings, and specifications.
The intent for this position is for the selected candidate to complete on-the job training during the 1st shift (day shift hours 7:00am - 3:30pm) and transition to 2nd shift (evening shift hours 3:00pm - 11:30pm). Hours may change based on needs and requirements.
Basic Requirements:
• High School diploma (or equivalent) and 4+ years of relevant experience.
• Experience with building mechanical systems and operations (HVAC, chillers, cooling towers, air handlers, compressed air, etc.)
• Experience with building power and electrical distribution systems
Preferred Qualifications
• Above listed experience in both manufacturing and office environments
• Knowledge of building automation control systems (software usage)
• Experience with building maintenance management and tracking systems (work orders , CAFM)
• Journeyman certification
**Salary Range:** $49,900 - $83,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Business Management Manager 12024-03-14T06:15:35-04:00https://northropgrumman.jobs/024BD279AB3F474D85E0414588C43A2026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
We are looking for you to join our team as a **Business Management Manager 1** based out **of Linthicum, MD** . This position may be staffed as a Hybrid role from the Linthicum, MD area. This position offers the 9/80 schedule.
**What You'll Get to Do :**
The **Business Management Manager 1** will support the Advanced Electronics (AE) and Disruptive Mission Concepts (DMC) Operating Units within the Advanced Technology Business Unit, part of the Emerging Capabilities Development (ECD) organization.
**Business Management Manager 1** roles and responsibilities include, but are not limited to, the following:
+ Program resource management, including cost estimation, allocation, tracking, and analysis
+ Preparation and presentation of financial data to internal and external customers, including variance analysis and forecast
+ Compliance and financial reporting in support of Annual Operating Plan (AOP) and monthly Indicated Finals (IF)
+ EVMS oversight with COBRA reporting to satisfy internal/external deliverables.
+ Comprehensive Estimate-at-Complete (CEAC) preparation and review
+ Assistance with contract negotiations
+ Support of pricing and proposal activities
+ Review of contractual documents, including Technical Task Orders
+ Subcontractor invoicing and funding
+ On-going collaboration with the customer and functional teams
+ Management and development of Program Control Analyst (PCA) staff
+ NCTA Management and Reporting
**Basic Qualifications:**
To be considered for this position, you must minimally meet the knowledge, skills, and abilities listed below:
+ Bachelor's degree with 6 years of professional industry related experience - OR - a Master's degree with 4 years of professional industry related experience.
+ Will consider 10 years of applied experience in lieu of degree requirement
+ Prior experience in finance, accounting and/or program control
+ Understanding of DOD contracts, government Cost Accounting Standards, and the FAR
+ Experience with Earned Value and performance measurement baseline concepts and ability to apply them to multiple contracts, CLINs and control accounts.
+ Prior experience in a Program Control Environment - setting up cost control system, and monitoring costs and schedules on contracts requiring validated cost schedule control system
+ Proficient in Microsoft Office suite, SAP, and Business Warehouse (BW)
+ Prior experience preparing and presenting financial analysis to team and/or management.
+ The ability to travel domestically 10% of the time.
+ The ability to obtain a DoD Secret security clearance is required.
**Preferred Qualifications:**
Candidates with these desired skills will be given preferential consideration:
+ Bachelor's degree in Business Administration, Accounting, Finance, or a related discipline
+ MBA or CPA certification
+ Previous experience managing/supervising program, project, or personnel. This may be a lead position on a team.
+ Experience with Cognos forecasting system
+ Current, active DoD Secret security clearance.
**What We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $99,800 - $149,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-McLean) 2024 Rates and Budgets Intern - McLean VA2024-03-14T06:15:35-04:00https://northropgrumman.jobs/6EEE0C27E27C49F9A7B0D28045D9B1E226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
An internship at Northrop Grumman is unique. Sure, you'll learn new skills, explore our enterprise, network with experts, connect with thought leaders, and finish with a resume that opens doors. But you'll gain something even more valuable: pride in what you've done. Join us and launch your career. We'll support you with training, employee resource groups, and our shared vision of global security.
**Northrop Grumman** is seeking a **Rates and Budgets Intern** to join the Space Systems Sector Intern Program. This position will be located in **Mclean** **, V** **A.**
**Basic Qualifications**
**A candidate must meet ALL of the below criteria. The candidate must:**
+ Be a student who is enrolled full-time and pursuing an undergraduate or graduate degree from an accredited college/university and will be enrolled full time in Fall 2024.
+ Be majoring in or having majored in a Bachelor's degree in Business Management or business related field
+ Be available to work full-time (40 hours per week) for at least 10 weeks during summer 2024 **.**
+ Be able to obtain a U.S. Government security clearance (U.S. citizenship is a pre-requisite)
**Preferred Qualifications:**
+ Recent relevant experience in academic project, coursework, internship or work experience
+ Have an overall cumulative GPA of 3.0/4.0 or higher.
**Intern Salary Ranges:**
Bachelor's: $22.00 to $27.00 per hour
Master's: $32.50 to $34.75 per hour
At Northrop Grumman, our internship pay rates are standardized and take into account three main factors: the anticipated graduation date of the individual, the cost of labor of the geographic location, and whether the internship is considered technical or administrative.
campusjobs
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Principal or Senior Principal Budget Analyst2024-03-14T06:15:35-04:00https://northropgrumman.jobs/805F204DE000442C827052D59685DE7126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
We are looking for you to join our team as a **Principal or Senior Principal Budget Analyst** based out of Linthicum, MD. This position may be staffed as a Hybrid role at the discretion of the hiring manager.
**What You'll Get to Do :**
The **Principal or Senior Principal Budget Analyst** will support budget/rate development, actuals reporting, and monthly forecasting within the Mission Systems Sector Rates & Budgets team. Roles and responsibilities of the **Budget Analyst** will encompass the following, and vary slightly depending on specific position, program, or business unit to which you are assigned:
+ Monitor indirect budget and/or rate performance against plan including monthly reporting requirements (actuals, absorption, forecasting) to budget owners, internal management, and/or DCMA/DCAA
+ Review and analyze actual variance drivers and assist with corrective action planning
+ Prepare Forward Pricing Rate Proposal and Indicated Final submissions
+ Develop annual budgets in support of the Forward Pricing Rate Proposal
+ Support monthly Indicated Final updates
+ Provide support for internal reporting and reviews
+ Assist budget managers and to execute budgets to meet targets (headcount, utilization, costs)
+ Support DCMA/DCAA and internal audits and requests
+ Serve as business management liaison to supporting areas
**Basic Qualifications for a Principal Budget Analyst:**
+ Bachelor's degree plus 6 years related work experience - OR - a master's degree with 4 years of related work experience.
+ Will consider 10 years related work experience in lieu of degree requirement
+ Proficiency with MS Office (Excel, PowerPoint, Word, Teams)
+ Experience preparing and presenting information and/or analysis to all levels of management
+ US Citizenship is required.
**Basic Qualifications for a Senior Principal Budget Analyst:**
+ Bachelor's degree plus 10 years related work experience - OR - a master's degree with 8 years of related work experience.
+ Will consider 14 years related work experience in lieu of degree requirement
+ Proficiency with MS Office (Excel, PowerPoint, Word, Teams)
+ US Citizenship is required.
**Preferred Qualifications**
+ Bachelor's degree or higher in in Business Administration, Finance, Economics, Accounting, or related subject area.
+ Familiarity with SAP, internal financial budgeting systems, COGNOS
+ Government contracting experience
+ Rates and budgets experience
+ Experience with DCMA/DCAA audits
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $77,000 - $115,400
**Salary Range 2:** $95,400 - $143,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Redondo Beach) Manager Program Control 22024-03-14T06:15:35-04:00https://northropgrumman.jobs/B12D13507DFE40ED9A844655898DA6F726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aeronautics Systems (NGAS)** is seeking a qualified **Manager** **Program Control 2** to join our F-35 Business Unit, leading a team that is responsible for managing the Sustaining (cost allocation) budgets that can be divided into four over-arching areas: Model Management, Planning SOW, Pool Management and Base Management. This leadership role will be located in **Redondo Beach, CA** and offers the flexibility of a hybrid telecommute and in office work schedule.
The F-35 Program is a fast paced, dynamic, and sophisticated environment that requires initiative, strong analytical skills, and solid leadership characteristics. The Program Control Manager will lead and supervise a team of cost analysts responsible for managing the program's substantial cost allocation, both pool and base. They will lead a team responsible for Earned Value Management System (EVMS) implementation and analysis, SOX compliance, EAC analysis, including pressures and opportunities, program financial analysis, internal and external audits, and contract deliverables. The Manager is also responsible for providing functional oversight of the Cost Management department, including engagement, staffing, and employee development. Specific duties and responsibilities will include, but are not limited to the following:
**Essential Functions:**
+ Analyzing large allocations for the program
+ Interfacing with program finance and program manager for monthly financial forecasts, issues, concerns, and status
+ Cross-functional coordination with multiple organizations
+ Supporting all related program audits
+ Develop employee skills and evaluate employee performance on assigned responsibilities, including: Allocation Management, EVMS implementation and analysis; support and guidance to PMs and CAMs; analysis and preparation of cost and schedule reports; development, analysis and review of EACs; variance analysis research and reporting
+ Ensure team fully understands their roles and impact on financial results.
+ Provide direct supervision activities, including completion of performance goals and reviews, assigning work scope to employees, reviewing and approving timecards, and ensuring employees operate according to company policies and procedures
+ Focus team on achieving uncompromising quality in all products and services, accountability and team performance.
+ Fostering an environment of integrity, establishing relationships, and maintaining communication with stakeholders at multiple levels
**Basic Qualifications:**
+ Bachelor's Degree with 7 years or Master's degree with 5 years experience in cost management, finance, business management and/or industry related experience
+ Extensive knowledge of Earned Value Management Systems (EVMS) and financial analysis
+ Candidate must be comfortable in collaborating in matrix organizations
+ Ability to communicate and interface with CAMs
+ Experience with MS Office Skills including Excel (with Macros, Pivot tables and VLOOKUP) and PowerPoint
+ Candidate must gain and have an understanding of, and adherence to, company policies, procedures and internal controls
**Preferred Qualifications:**
+ Experience in Aerospace and/or Defense programs and familiarity with Integrated Program Management Report (IPMR) and/or Integrated Program Management Data and Analysis Report (IPMDAR)
+ Experience with allocation pools and overhead rates
+ Advanced proficiency in Earned Value Management Systems (EVMS) such as Deltek Cobra and MPM, accounting systems such as SAP, financial systems such as Cognos
+ Experience leading a team, either as a lead, functional manager, or matrix manager
+ Experience interfacing with government audit agencies such as DCMA and DCAA, as well as Internal Audit
We offer phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly collaborative workplace. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do. Does this sound like you?
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself! Every ERG is inclusive of all employees!
At Northrop Grumman, we are on the cutting edge of innovation-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule, and a great 401K matching program.
**Salary Range:** $138,100 - $207,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Manager Contract Administration 1 (Security Clearance REQUIRED)2024-03-14T06:15:35-04:00https://northropgrumman.jobs/DA6CE22C9788465DAFBD7AFD69EEC16826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
We are looking for you to join our team as a **Manager, Contract Administration 1 based out of Linthicum, MD.** This position offers the 9/80 schedule.
**What You'll Get to Do:**
The Manager, Contract Administration 1 will join the Airborne Multifunction Sensors (AMS) Division, Advanced Tactical Programs (ATP) Operating Unit (OU) contracts team, supporting the ATP Development Director. The ATP OU is comprised of multiple restricted, highly visible, and fast-paced programs. The Manager, Contract Administration 1 will provide day-to-day guidance and oversight to the team of four Contract Administrators as they act as the primary interface with business partners and prime/USG customers for proposal preparation, contract negotiation, and contract administration.
The Manager, Contract Administration 1 will lead the team in their management of assigned contracts in accordance with company policies, legal requirements, and customer specifications. Associated responsibilities include:
**Responsibilities:**
+ Provides oversight of the administration, extension, negotiation, and close out of standard and nonstandard contracts.
+ Offers guidance of proposal preparation, contract negotiation, contract administration, and customer contract activities to provide for proper contract acquisition and fulfillment.
+ Executes the flow of critical information between the program director and internal stakeholders such as Global Supply Chain, Business Management, and the Law Department.
+ Examines estimates of material, equipment services, production costs, performance requirements, and delivery schedules to ensure accuracy and completeness.
+ Reviews bids; generates progress and other reports; advises management of contractual rights and obligations; compiles and analyzes data; and maintains historical information.
+ Helps mentor and train the Contracts Team in all aspects above as part of team work and collaboration, as well as Teambuilding initiatives.
**QUALIFICATIONS:**
**Basic Qualifications:**
+ Bachelor's degree with 10 years of experience in contracts / related field - OR - a Master's degree with 8 years of experience in contracts / related field - OR - 14 years of experience in lieu of a degree.
+ Excellent written and oral communication skills, strong proposal development skills, and solid negotiation skills
+ Current active Secret level clearance
+ US Citizenship
+ Contracts/Administration in a FAR/DFARS environment
+ Background in DoD contracting, prior experience with the USG and/or major defense contractor customers
+ Experience negotiating and administering various contract types of varying sizes and types of agreements
+ Proficiency in MS Office including Outlook, Excel, Teams, and PowerPoint
+ Ability to travel 10% of the time.
**Preferred Qualifications:**
+ SAP/SAR experience
+ Demonstrated 1+ year(s) of leadership or supervisor experience
+ Law, business, or relevant advanced degree
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $99,800 - $149,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Advanced Automation Manager Engineering 22024-03-14T06:15:35-04:00https://northropgrumman.jobs/F7CA08424EFC482AA7D99B54109D58B226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Job Description
**Northrop Grumman Aeronautics Systems has an opening for an Advanced Automation Manager Manufacturing Engineering 2 to join our team of qualified, diverse individuals within our Advanced Automation organization. This leadership role is located in Palmdale, CA**
The Automation Manufacturing Engineering Manager will become part of Northrop Grumman's Manufacturing Engineering Team working in a collaborative environment while promoting a positive and proactive teamwork environment. The Advanced Automation team develops manufacturing processes that are applicable to statistical process control and may develop those techniques. Provides guidance to engineering regarding design concepts and specification requirements to best utilize equipment and manufacturing techniques. Ensures production processes and procedures follow regulations. The team's focus is upon automation projects such as including drilling systems; fiber placement systems for composites; robotics; coatings applications; projection systems and related equipment, which will include the following responsibilities:
**Key Responsibilities:**
+ Oversee and manage the daily production availability of all automated equipment.
+ Responsible for the performance, status, sustainment, and continuous improvement of both equipment and processes.
+ Lead and manage a team of engineers responsible for a wide range of automated production processes such as drilling robots, painting robots, automated guided vehicles, and various automated technologies.
+ Establish and sustain the maximum availability of production automation through planned maintenance, optimization, and event recovery.
+ Organize a broad range of specialized training for engineering and production operation personnel.
+ Use advanced programming and analysis tools to maintain the Automation organizations body of knowledge, establish role and responsibility requirements, recognize strengths and opportunities in employee competency, and foster team development events.
+ Determine proper staffing requirements, resource assignments, and sustain
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement, and respond vigorously to change.
**Basic Qualifications:**
+ Must have a Bachelor's Degree in STEM (Science, Technology, Engineering, Math) AND 7 years of related work experience in production assembly/fabrication including composites, metallic, coatings application, and/or production manufacturing operations OR Master's Degree in STEM AND 5 years of experience in production assembly/fabrication including composites, metallic, coatings application, and/or production manufacturing operations OR PhD STEM with 2 years of experience in production assembly/fabrication including composites, metallic, coatings application, and/or production manufacturing operations
+ Must have 2 year of prior management/leadership experience in Manufacturing Engineering or Operations
+ Must have an ability to obtain a DoD Secret security clearance (US Citizenship required) as well as the ability to obtain and maintain Program Special Access
+ Ability to work 1st shift, or 2nd shift and any work schedule (times may vary)
**Preferred Qualifications:**
+ Bachelor's Degree in STEM (Science, Technology, Engineering, Math)
+ Assembly automation experience, troubleshooting design and installation experience.
+ Knowledge of composite fabrication and tooling, concepts.
+ Experience designing in 3D CAD e.g., CATIA, NX, CREO etc.
+ Firm understanding of GD&T and tolerance stack-up
+ Understanding of Coordination of design and fabrication requirements for tools and fixtures with customers, both internal and external
+ Ability to interface/communicate with multiple levels of leadership, clients, and vendors
+ Project Management skills (proposal creation, scheduling, budget tracking)
+ Experience within the aerospace, automotive, or production related industry and/or with automated systems/machines
+ Experience in the development, capture, and execution of R&D technology capabilities.
+ Experience assessing maturity of new technology for transition to production
+ Experience with authoring proposals, technical documents, and procedures
+ Program management experience and PMP certification
+ Experience providing innovative techniques focused on improving product designs and tooling assemblies or equipment
+ The ability to demonstrate project management skills and problem-solving skills while supporting multiple projects simultaneously
+ Experience with drilling, CNC, and advanced manufacturing technologies
+ Experience with statistical analysis / green belt Six Sigma
+ Certification with using earned value management system (EVMS) for tracking project financials
+ Experience with machine controls, electrical theory, PLC ladder logic
+ Experience with robotics and robotic programing
+ Understanding and experience with CBA/ROI analysis and justification
+ Current and active DOD Secret or Top-Secret Clearance
+ Current Program Special Access
+ Advanced proficiency in Microsoft Office Suite (Word, Excel, PowerPoint and Project)
+ Must have the ability to travel to support business requirements
**Salary Range:** $122,200 - $183,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-AL-Huntsville) Sr Principal Computer Systems Architect-AHT2024-03-14T06:15:34-04:00https://northropgrumman.jobs/3E16DA80593045EDBA500CF392139C2726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
IBCS seeks to fill the position of Tactical Systems Integrator with experience integrating primarily RHEL based systems and networked System of Systems in a Tactical and Lab environment. This candidate will have a strong working knowledge of LINUX (RHEL) and of IP Network Routing, and QoS Protocols. The candidate should be well versed configuring and optimizing Linux Operating Systems They will provide day to day operating system, software, and infrastructure integration as well as regression test support. Responsibilities will include integration and maintenance of Red Hat Enterprise Linux and Networking configurations with GOTS/COTS products.
Specific tasks will include, but are not limited to:
• Integrate IP based Tactical Networks primarily consisting of RHEL Systems and Cisco devices
• Work within and across teams to support and coordinate daily integration activities
• Execute root cause analysis to troubleshoot and resolve complex network and system issues
• Serve as an Integration engineering SME to include supporting configuration, troubleshooting, and suggesting changes to improve performance
• Interact with Senior leadership, Government customers, and Military members
**Basic Qualifications:**
• BS + 9 years of exp; MS + 7 years of exp; PhD + 4 years of exp or
4 additional years acceptable in lieu of a degree.
• Hands on engineering or administering Cisco networks and RHEL Systems
• Ability to script or work at the command line level within RHEL systems and Windows based systems
• Strong communication and interpersonal skills
• Ability to work within a high stress and dynamic environment
• Current Security certification (e.g. Security+ CE or CISSP)
• Strong problem-solving skills
• Current Secret security clearance required
**Preferred Qualifications:**
• Sr. Linux Administration Experience (RHEL)
• Understanding of Mesh Radios and RF-based communications and routing protocols
• ASOS (Army Systems of Systems) experience and/or ADA (Air Defense) experience
• In-depth understanding of routing and switching experience debugging network-oriented applications with comfort understanding
• Strong understanding of or experience with Network Design and Architecture
• Network Testing
• Sr. level experience with Cisco networks. Specifically Layer 2 and Layer 3 devices utilizing static and dynamic routing as well as virtual Interfaces
• Experience integrating COTS, GOTS and custom software in a security constrained environment
**Salary Range:** $127,000 - $190,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Beale AFB) Deployable Principal Logistics Planner - Top Secret2024-03-14T06:15:34-04:00https://northropgrumman.jobs/46F17E0500FA482C86EC93BAB8B6895B26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Are you ready to start defining possible with Northrop Grumman? If so, our Defense System is seeking a Principal Logistics Planner to join our team of qualified, diverse individuals located at Beale AFB Ca.
You will analyze technical data and reports to determine spares and support equipment provisioning requirements. you will review site survey results and letters of offer and acceptance and formulate specific recommendations for spare/repair parts and support equipment. Manage and control the asset position of customer inventories. May originate support plans for offer to potential customers and provide technical assistance to existing customer personnel. May forecast and manage budget system. You may receive additional training and be cross utilized in Maintenance Support to include but not limited to receive, store, and issue tools, machine tool fixtures, dies, and measuring devices. Maintain records of tools issued to employees; take periodic inventory and requisition stock as needed; report damaged, worn out, or missing equipment using computers to enter transactions, validate information and maintain database. Clean, oil, and perform minor repairs on equipment; disposes of surplus or obsolete equipment in accordance with established procedure. Ensure that measuring devices are periodically calibrated. You will also provide support to the logistics function preparing logistics documentation to establish the range and depth of spares, tools, test equipment and related manuals to be deployed and stocked. You will determine maintenance and repair materials required for additional efforts.
NGSkills
**Basic Qualifications:**
+ High school diploma and 10 years of relevant Logistics Planner experience; or Bachelors degree and six years of Logistics Planner experience; or Masters and four years for relevant experience.
+ Experience in aviation or aerospace industry.
+ Have and maintain a valid U.S. passport, accept customer furnished quarters for a period up to 120 days away from primary work location and comply with mobility requirements.
+ Meet medical and vaccination requirements for worldwide travel.
+ Willing to work a flexible work schedule that may include extended shifts, holidays, and weekends and support on call status for 24 hours-a-day, 7 days-a-week, 365 days a year.
+ Current DoD Top Secret security clearance and be able to maintain that clearance.
+ Ability to obtain and retain Special Program access clearance within 365 days from submission.
**Preferred Qualifications:**
+ Aviation or aerospace operational experience is preferred over manufacturing or production, but all candidates will be considered.
+ Experience with load planning.
**Salary Range:** $77,000 - $115,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-WV-Rocket Center) Contract Administrator2024-03-14T06:15:34-04:00https://northropgrumman.jobs/6A44ECE15A9F455B89E82EC27BAE37DD26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Northrop Grumman is seeking a Contract Administrator (Level 2) to join its team of qualified, and diverse professionals. **This position will be in Rocket Center, WV .**
The successful applicant will become part of Northrop Grumman's contracts organization and will support various Government programs, capture and proposal activities as well as provide contract operations support. The successful candidate will be a direct report to Contracts Leadership and will work closely on a day-to-day basis with the business managers, operations managers, capture managers and other functional teams throughout the department. The successful candidate will be responsible for all aspects of contracts from the business development phase through contract closeout, delivering strong customer satisfaction, successful financial performance, and risk mitigation. Candidate's ability to build strong customer intimacy and employee engagement is key. Candidate must be a self-starter and able to work collaboratively with team members, including in an advisory role to program management, site management and the customers. Candidate will have knowledge of Federal Acquisition Regulations (FAR) and Defense Federal Acquisition Regulations Supplement (DFARS).
In this role, the selected candidate will:
+ Work complex assignments independently and support Finance, Program Management, and various levels of Management
+ Lead proposal preparation, contract negotiation and contract administration activities from proposal through closeout.
+ Administer daily contract activities for a variety of product lines and contract types, including cost reimbursable and firm fixed price; such activities will include establishing payment terms, initiating, and monitoring invoicing, collections, and funding to support financial objectives.
+ Enter and manage data in the Contract Management System, financial and delivery systems.
+ Participate in customer meetings, performing and monitoring compliance to contract requirements and leading change management process.
+ Ensure non-disclosure agreements and contract terms and conditions are negotiated within company guidelines.
**Basic Qualifications Contract Administrator (Level 2):**
+ This position emphasizes skills and experience. High School Diploma or equivalent (GED) and at least 7 years of applied experience. Will consider at least 3 years of applied experience with Bachelor's Degree; or at least 1 Year with Masters in a related field.
+ Proficiency with Microsoft Office suite, especially Excel and PowerPoint
+ Must be able to obtain and maintain DoD (Department of Defense) Secret clearance
**Preferred Qualifications**
+ Proficiency with Microsoft Office suite, especially Word, Excel, PowerPoint, SharePoint and Project
+ Working knowledge of FAR/DFARS clauses
+ Experience in the defense industry
+ Excellent verbal and written communication skills with internal and external customers
+ Ability to work independently
+ Ability to learn and utilize various data entry software tools and to adapt with changes to those tools
+ Basic understanding of Government contracting requirements
**Salary Range:** $62,200 - $93,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) Production Planner and Scheduler (Level 2 and Level 3)2024-03-14T06:15:33-04:00https://northropgrumman.jobs/337E08C9DDE74C2A8860A6A76A2A914A26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for **a Production Planning and Scheduler** to join our team of qualified, diverse individuals.
This position will be located in **Clearfield, Utah.**
**This role may be filled a level 2 or 3 based on the qualifications outlined below.**
The **Production Planning and Scheduler** will be expected to:
+ Create and coordinates production schedules along with ensuring materials, and planning are available for production.
+ Scheduling all program needs on one integrated schedule.
+ Understanding of product movement, load level at a resource level.
+ Advises management and master scheduler of production status and escalate problems to ensure labor, tools, equipment, and services are provided as needed.
+ Coordinates with other internal departments to ensure the movement of parts are timely.
+ Works with support functions to ensure supporting documents are available before production.
+ Coordination of product movement across the value stream.
+ Plans, prepares, issues and controls production schedules and coordinates with material requirements to ensure a controlled flow of approved materials timed to meet production requirements.
+ Advises management of the status of work in progress, material availability, and potential production problems to ensure that personnel, equipment, materials and services are provided as needed.
+ Schedules equipment and personnel, confirms material supply and demands, and prepares work orders for the production or purchase of components or parts based on a master production schedule, shop load and inventory requirements.
+ Coordinates interdepartmental activity with quality assurance, manufacturing, purchasing, engineering, inventory control, traffic, etc.; schedules and expedites the movement of parts by means of move orders, stock transfers and requests for shipping orders.
**Basic Qualifications**
**Production Planner Scheduler (Level 2)**
+ Bachelor's Degree with 3 years of experience, **or** High School Diploma/GED with 7 years of experience in Business, Logistics, Industrial Engineering, or manufacturing production control.
+ Proficiency in Microsoft Office (Excel, PowerPoint, Word)
+ Ability to obtain DoD security clearance at the Secret level
+ Ability to obtain special program access
+ Master scheduling experience
+ ERP/MRP experience
**Principal Production Planner Scheduler (Level 3)**
+ Bachelor's Degree with 6 years of experience, or High School Diploma/GED with 10 years of experience in Business, Logistics, Industrial Engineering, or manufacturing production control
+ Proficiency in Microsoft Office (Excel, PowerPoint, Word)
+ Ability to obtain DoD security clearance at the Secret level
+ Ability to obtain special program access
+ Master scheduling experience
+ ERP/MRP experience
**Preferred Qualifications:**
+ Active DoD Secret Clearance
+ Special Program Access
+ Material planning experience
+ Experience working in a manufacturing environment
+ Experience with Manufacturing Execution System (Solumina)
**What We Offer:**
At Northrop Grumman, we are on the cutting edge of innovation-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer exceptional benefits/healthcare, a great 401K matching program, and 14 Employee Resource Groups inclusive of all employees!
ASBUJobs
**Salary Range:** $55,100 - $82,700
**Salary Range 2:** $67,800 - $101,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Sr. Principal Reliability Engineer2024-03-14T06:15:32-04:00https://northropgrumman.jobs/4BB037F6906D49ECADC9F1954F5E455426At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Space Systems Sector, Payload and Ground Systems Division is seeking a Sr. Principal Reliability Engineer for spacecraft systems or subsystems. The Reliability Engineer candidate will provide product design influence through reliability tasking while working within an Integrated Product Team designing, developing, assembly, integration and test of spacecraft and its subsystems. This position is located in Linthicum, MD.
The Reliability candidate will:
+ Lead Design Failure Modes, Effects and Criticality Analysis (FMECA)(functional and interface)
+ Develop reliability assessment, modeling, prediction and reliability trade studies.
+ Chair Failure Review Boards.
+ Lead failure investigations (root cause/corrective action)
+ Develop reliability reports and design artifacts.
+ Interface with the program office and Customer counterparts
+ Support Worst Case Analysis (WCA), Electronic Parts Stress Analysis (PSA), and Single-Events Effects Analysis (SEEA)
+ Provide reliability leadership to component qualification and system assembly, integration and test activities.
Basic Qualifications:
+ Must be a U.S. citizen and with the ability to obtain Active Top Secret/SCI clearance.
+ Bachelor's + 9 years of exp; Master's + 7 years of exp; PhD + 4 years of exp.
+ Experience in electronic systems reliability analyses and activities.
Preferred Qualifications:
+ Active TS/SCI clearance.
+ Experience leading spacecraft reliability activities
+ Knowledgeable with NASA, US DoD military standards & handbooks, and Aerospace TOR Reliability standards
+ ASQ Certified Reliability Engineer (CRE)
**Salary Range:** $115,400 - $173,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Sr. Principal Systems Engineer (Active TS/SCI Required)2024-03-14T06:15:32-04:00https://northropgrumman.jobs/99F022BFE1854E859F7C8B75C0D8E8E726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The **Payload and Ground Systems** organization within the **Northrop Grumman Space Systems** pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
**Northrop Grumman Space Systems** (NGSP) seeks to fill an experienced Sr. Principal Engineer Systems in the Payload and Ground Systems Division Engineering organization supporting Remote Sensing Programs (RSP) Business Unit (BU). This position is in **Linthicum, Maryland.**
This position is for a **Sr. Principal Systems Engineer** to join our team and responsibilities include supporting working groups with the customer, EMC/EMI Test Lead (test planning, test procedures, equipment check, data collection management), familiarity with MIL-STD-461F and MIL-STD-461C. This engineer will be supporting multiple programs.
Additionally, a **Sr. Principal Systems Engineer** is expected to perform technical planning, system integration, verification and validation, cost and risk, and supportability and effectiveness analyses for total systems. Analyses are performed at all levels of total system product to include concept, design, fabrication, test, installation, operation, maintenance, and disposal. Ensures the logical and systematic conversion of customer or product requirements into total systems solutions that acknowledge technical, schedule, and cost constraints. Performs functional analysis, timeline analysis, detail trade studies, requirements allocation and interface definition studies to translate customer requirements into hardware and software specifications.
**Basic Qualifications:**
+ Active/current TS/SCI is required to be considered.
+ Familiarity with MIL-STD-461F & MIL-STD-461C
**Preferred Qualifications:**
+ STEM degree is preferred.
+ Systems engineering experience (e.g., with requirements development and management, ADDs/ICDs/TDDs, modeling and simulation, and/or integration and test)
+ Atlassian Tool Suite: Jira, Bitbucket, and Confluence
**Salary Range:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Sentinel - Requirements/Verification Systems Engineer/Principal Systems Engineer (LSS) - 120592024-03-14T06:15:32-04:00https://northropgrumman.jobs/AF64A6ACBD6C48588ADDC2E2CA78D25526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking to hire a Requirements/Verification Systems Engineer/Principal Systems Engineer to join the Command and Launch Team. This position will be located in **Roy, UT** and will support the Sentinel (GBSD) program. Learn more about the Sentinel program here . Northrop Grumman supports the Air Force's sustainment, development, production and deployment of hardware and system modifications for Intercontinental Ballistic Missile (ICBM) Ground and Airborne Launch Control Systems, Launch Facilities and associated infrastructure.
**What you will get to do:**
The selected engineer will be asked to:
+ Develop, derive, or decompose requirements to the lowest level.
+ Develop and update verification models in MBSE environment.
+ Respond to actions and resolve issues with requirements.
+ Coordinate with other teams to ensure that requirements are tracked and met.
Additional areas of responsibility may include:
+ Performing technical planning, system integration, verification and validation, cost and risk, and supportability and effectiveness analyses.
+ Ensuring the logical and systematic conversion of customer or product requirements into total systems solutions that acknowledge technical, schedule, and cost constraints.
+ Performing functional analysis, timeline analysis, detail trade studies, requirements allocation and interface definition studies to translate customer requirements into hardware and software specifications.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
- Medical, Dental & Vision coverage
- 401k
- Educational Assistance
- Life Insurance
- Employee Assistance Programs & Work/Life Solutions
- Paid Time Off
- Health & Wellness Resources
- Employee Discounts
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
This role may offer a competitive relocation assistance package.
\#GBSDsystems
**BASIC QUALIFICATIONS:**
+ **Systems Engineer** **:** bachelor's degree in STEM with at least 2 years of related systems engineering experience, 0 years with a master's degree.
+ Active Secret clearance investigated within the last 6 years.
+ Ability to obtain special access.
+ 1 year of Systems Engineering tools experience (CAMEO or DOORS preferred)
+ 1 year of experience with Requirements
+ **Principal Systems Engineer:** bachelor's degree in STEM with at least 5 years of related systems engineering experience. 3 years with a master's degree
+ Active Secret clearance investigated within the last 6 years.
+ Ability to obtain special access.
+ 1 year of Systems Engineering tools experience (CAMEO or DOORS preferred)
+ 1 year of experience with Requirements
**PREFERRED QUALIFICATIONS:**
+ 2 years of experience using DOORS and Cameo.
+ Experience in cable or distribution box design and requirements.
+ Strong people skills
+ Excellent communication skills (upward and downward)
+ Experience leading teams developing requirements.
+ Experience leading agile teams.
+ Experience working in a shifting development environment.
+ Experience working on an engineering manufacturing and development program.
**Salary Range:** $75,300 - $112,900
**Salary Range 2:** $92,600 - $139,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Redondo Beach) Wind Tunnel Model Mechanical Technician 3 or 42024-03-14T06:15:31-04:00https://northropgrumman.jobs/1897186A67E345A487671C370AE1D04626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems sector is seeking a **Wind Tunnel Model Mechanical Technician 3 or 4** to join our team of qualified, diverse individuals. This position will be located in **Redondo Beach, CA** .
This position is with a Research and Development/Test organization providing unique and challenging tasks. The selected candidate performs a variety of duties assisting mechanical engineers in the development of mechanical and engineering designs, tests, fabrications, modifications, assemblies and components. Drafts detailed drawings for fabrication. Devices, fabricates, and assembles new or modified mechanical components or assemblies. Sets up and tests complete prototype units and subassemblies under operational conditions. Analyzes data and recommends modifications to components or test procedure to meet desired specifications.
**Essential Functions:**
+ Perform the advanced fabrication, assembly, inspection and installation of all types of research Wind Tunnel Models.
+ Operates related equipment including Mills, Lathes, Saws and Hand Tools.
+ Understands the instrumentation requirements of complex aerodynamic shapes and flow systems.
+ Works from complex blueprints, rough sketches, loft lines, preliminary pre-release drawings, verbal instructions and other engineering information.
+ Requires proficiency in working with wood, metal, plastic, and composite materials.
+ Must have a complete acquaintance with and understanding of the general aspects and technical phases of the job, and their practical applications to problems and situations ordinarily encountered.
+ No instructions needed on routine work, and general instructions given on new lines of work or special assignments.
+ Acts with limited supervision.
**Basic Qualifications for Mechanical Technician 3:**
+ High school diploma/GED and 4 years of assembly related/basic conventional machining skills
+ Ability to obtain and maintain a DoD Secret clearance and Special Program access within a reasonable period of time, as determined by the company to meet its business need
+ Must be able to perform moderate strenuous physical and repetitious work to include: bending, stooping, kneeling, lifting up to 25 pounds, and working overhead
+ Must be able to access small confined spaces and work in an enclosed environment
+ Ability to pass medical/respiratory evaluation in order to obtain and maintain necessary respirator clearance and compliance with all respirator fitting requirements.
+ Ability to wear a dust mask during shift
+ Ability to travel up to 25% of the time
+ Must be available to work any shift
**Basic Qualifications for Mechanical Technician 4:**
+ High school diploma/GED and 6 years of assembly related/basic conventional machining skills
+ Ability to obtain and maintain a DoD Secret clearance and Special Program access within a reasonable period of time, as determined by the company to meet its business need
+ Must be able to perform moderate strenuous physical and repetitious work to include: bending, stooping, kneeling, lifting up to 25 pounds, and working overhead
+ Must be able to access small confined spaces and work in an enclosed environment
+ Ability to pass medical/respiratory evaluation in order to obtain and maintain necessary respirator clearance and compliance with all respirator fitting requirements.
+ Ability to wear a dust mask during shift
+ Ability to travel up to 25% of the time
+ Must be available to work any shift
**Preferred Qualifications:**
+ Conventional Machining skills including, Mills, Lathes, grinders and saws.
+ Wind Tunnel Model Assembly Skills
+ Wind Tunnel Model Test support Skills
+ Wind Tunnel Model Instrumentation Skills
**Salary Range:** $62,900 - $104,900
**Salary Range 2:** $74,900 - $124,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Elkridge) Operations Project Manager2024-03-14T06:15:31-04:00https://northropgrumman.jobs/1AE410E969DE4A52A72F258DC3C9647B26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
Northrop Grumman Mission Systems is seeking an **Operations** **Project Manager** for our **Troy Hill campus** located in **Elkridge, MD** .
The successful candidate should have experience working with teams, effective communication skills, and the ability to schedule work plans to meet scheduled commitments. He/she must also have the ability to work in a manufacturing environment and adhere to safety and safe shop practices; and the ability to adapt and work independently to meet short term requests and provide reporting on multiple programs to a variety of customers with limited supervision.
**This is not a Manager job. This is an individual contributor role.**
**Role Responsibilities:**
+ Oversees and manages the operational aspects of ongoing projects and serves as liaison between project management and planning, project team and line management
+ Reviews status of projects and budgets; manages schedules and prepares status reports
+ Assesses project issues and develops resolutions to meet productivity, quality, and client-satisfaction goals and objectives
+ Develops mechanisms for monitoring project progress and for intervention and problem solving with project managers, line managers, and clients
+ Duties include setting and meeting sales objectives; monitoring costs, tracking material consumption, replenishments, and interplant shop orders; and ensuring the program performance meets the terms and conditions of the contract
+ Customer interface extends to external and internal customers: responding to customer inquiries, providing customized status reports, acting as liaison between the Logistics Programs and Manufacturing Operations to ensure the timely repair and return of government assets and material
+ May facilitate self-directed work teams, provide training in core skill areas to teams and peers, and interact with internal and external customers with respect to product planning, and problem resolution
+ High-energy strategic thinker with demonstrated ability to operate and communicate at both a strategic and a hands-on level as needed
+ Ability to prioritize and complete multiple tasks within critical deadlines
+ Excellent organizational, verbal, and written communication skills, as well as analytical, planning and presentation skills are required
+ Demonstrated ability to build and foster effective relationships with others
**Basic Qualifications: Operations** **Project Manager - Level 2**
+ Bachelor's degree with 2 years of relevant experience, Master's degree with 1 year of relevant experience, 6+ years of relevant experience may be considered in lieu of a degree
+ Ability to obtain and maintain a U.S. Secret level security clearance for which U.S. Citizenship is required
+ Proficiency with Microsoft system application tools
+ Experience and/or knowledge in a manufacturing and/or repair depot environment
**Preferred Qualifications:**
+ Active U.S. Secret level security clearance
+ Knowledge of repair depot operations
+ Experience interpreting engineering drawings
+ Technical background
+ Experience in proposal roles and responsibilities a plus
+ Experience in SAP (MES) (or related) and GOLD knowledge
+ Experience working with radar-related technologies
+ Operational and sustainment repair support experience
+ Material management experience
+ Vendor and logistics/supply chain experience
+ Experience with cost, schedule, project leadership
+ BS in Business, Mechanical or Electrical Engineering, Industrial Engineering,
+ People leadership and familiarity with DOD Operations
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
**NGFeaturedJobs**
MANUMS
Northrop Grumman has approximately 90,000 employees in all 50 states and in more than 27 countries. We strive to attract and retain the best employees by providing an inclusive work environment wherein employees are receptive to diverse ideas, perspectives, and talents to help solve our toughest customer challenges: to develop and maintain some of the most technically sophisticated products, programs, and services in the world.
Our Values . The women and men of Northrop Grumman Corporation are guided by Our Values. They describe our company as we want it to be. We want our decisions and actions to demonstrate these Values. We believe that putting Our Values into practice creates long-term benefits for shareholders, customers, employees, suppliers, and the communities we serve.
Our Responsibility . At Northrop Grumman, we are committed to maintaining the highest of ethical standards, embracing diversity and inclusion, protecting the environment, and striving to be an ideal corporate citizen in the community and in the world.
**Salary Range:** $83,300 - $124,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Security Coordinator 4 (12055)2024-03-14T06:15:31-04:00https://northropgrumman.jobs/2DD0A2E4A7DD4E1B81FDA9FC6DD80F5F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is seeking a **Security Coordinator 4** to join our team of talented and diverse individuals supporting the Sentinel (GBSD) program at our **Roy, UT** location. This position will support the SAP industrial security PERSEC (personnel security) team.
The responsibilities may include but are not limited to the following:
+ Supporting a fast-paced high-profile environment.
+ Create, maintain and leverage working relationships with internal and external customers.
+ Meticulous record-keeping and responsible for accurate data input into multiple databases/spreadsheets.
+ Produces employee and guest badges, identification cards, and security reader cards.
+ Processes security information and data for employment records, security clearances, and property control.
+ Performs clearance verification, escorting, and access control activities in and around secure facilities, and must be willing and able to work variable shifts if necessary (afternoons, evenings, and possibly weekends).
+ Manage secure conference room calendars and offer security support for meetings, order supplies, and complete all other administrative tasks as assigned.
+ General Personnel Security duties: Personnel Security Questionnaires, Program Access Requests, etc.
+ Database entry and maintenance pertaining to personnel folders, classified safes, and other NISPOM compliance requirements.
+ Working knowledge and understanding of SAP PAR process is desired.
+ Demonstrate excellent communicative skills and the ability to follow process and procedures.
**Basic Qualifications:**
+ High School diploma or equivalent and 6 years additional education and/or related experience.
+ Candidate must be a US Citizen, have an U.S. Government **Secret** clearance with a Single Scope Background Investigation (SSBI) current within 6 years.
+ Excellent communications skills.
+ Candidate must be organized and efficient at time management.
+ Candidate must have experience with computer and desktop.
+ Microsoft office software products (Word, Excel etc...).
+ Candidate must have good customer service skills.
**Preferred Qualifications** :
+ Experience with 32 CFR Part 117 NISPOM rule and DoDM 5205.07 V1-4.
+ Self-starter with minimal supervision.
+ Working knowledge of the JADE database.
+ Ability to display tact, discretion, and diplomacy in a variety of program areas.
+ Ability to excel in a fast-paced deadline driven environment.
+ Excellent communication skills, speaking, writing skills and organizational skills enabling effective communications.
+ Completed security training (ex. CDSE) is a plus.
+ Proficient with ESIS/SIMS.
+ Basic knowledge of access controls and security systems.
**Salary Range:** $50,500 - $84,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-San Diego) Manager Programs 22024-03-14T06:15:31-04:00https://northropgrumman.jobs/553DC101AA1B4E24BA7DAC60718A423126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Mission Systems sector is looking for a Manger, Program Level 2 to support the Communications Solutions business unit in San Diego, CA. The selected candidate will be assisting with various Communications, Navigation, and Identification (CNI) Operating Unit programs, including overseeing key accounts. This position will require strong interaction with the engineering & operations team while balancing customer needs and business objectives.
The primary objective will be the delivery of all contractual requirements on cost and on schedule, while achieving all technical requirements and creating customer intimacy to support value creation strategies and actions. The individual will lead a cross-functional organization aligned to common program performance goals.
Program Managers are responsible for managing all phases of the program life cycle from inception (proposal development) through startup, execution, and completion (contract closeout). Primary responsibilities are the management of cost, schedule, and technical performance of company programs or subsystems and include, but are not limited to:
+ Cultivating customer relationships and intimacy to develop further opportunities within the customer community
+ Developing new business opportunities through long term strategic planning, capture planning, and development of proposals and business plans
+ Establishing a program organization that effectively addresses customer requirements and incorporates the necessary internal and external sub-organizations
+ Leading and directing cross-functional Integrated Program Teams (IPT) to meet program cost, schedule and technical performance objectives
+ Measuring and reporting program performance
+ Delivering presentations to customers, executive management and other program stakeholders
+ Participating in the negotiation of contracts, contract changes, specifications, operating budgets, schedule milestones, and key terms and conditions
+ Establishing design concepts, criteria, and engineering efforts for product research, development, integration and test
+ Creation, review and finalization of the program Statement of Work
+ Identification, distribution, tracking, and completion of program requirements
+ Establishment and management of the program and subordinate baselines
+ Development and adherence to budget baselines utilizing Earned Value Management (EVM) or similar cost & schedule control methodologies and tools
+ Identifying, allocating and managing program resources, including workforce planning
+ Managing Government/customer supplied property or information (GFE, CFE, etc.)
+ Managing suppliers to meet program objectives
+ Adherence to all internal processes, policies, and applicable industry standards
+ Ensuring program team understands and adheres to contract scope, and manages change through control board activities
+ Development and adherence to master plans and schedules
+ Conducting thorough risk & opportunity management practices including identification, mitigation and realization
**Basic Qualifications:**
+ Must have a Bachelor's degree and 10 years of related professional/military experience that includes a background in project/program management, or a Master's degree and 8 years of related professional/military experience that includes a background in project/program management. Will consider 14 years of applied experience in lieu of degree requirement.
+ Demonstrated excellence in managing challenging customer relationships during development or NRE project execution.
+ Demonstrated success in leading the performance of tasks on schedule, at cost and achieving all requirements as either project lead, integrated program team or cost account manager.
+ Experience utilizing earned value management system (EVMS)
+ Demonstrated effective use of Risk and Opportunity Management on project/program.
+ Strong organizational and interpersonal skills
+ Ability to comprehend and analyze complex problems and develop solutions.
+ Strong skills in Microsoft Office programs including Word, Excel, MS Project, and PowerPoint
+ Must be willing and able to travel up to 10% of the time as required by business needs.
+ Final active DoD Secret Clearance is required to start.
**Preferred Qualifications:**
+ Experience as CAM and/or past profit/loss accountability
+ Demonstrated success leading programs supporting multiple customers
+ Communications and Networking Domain knowledge
+ Capture experience that spans DoD, Commercial, and International customers
+ Knowledge of major US DOD acquisition organizations, military customers, and/or military
+ Project Management Professional (PMP) or equivalent certification.
+ Knowledge of Northrop Grumman internal processes, procedures, and organization.
**Salary Range:** $151,900 - $227,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Firefighter 3 (Palmdale CA)2024-03-14T06:15:31-04:00https://northropgrumman.jobs/E02510BCDD5F4D4AA15B275AA4EF3E8526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
This position description does not represent a current opening but may be used to identify candidates with skills and experience for positions within Northrop Grumman that frequently become available. Candidates who express an interest may be considered for future positions at Northrop Grumman.
Northrop Grumman is looking to add a Firefighter 3 to our team of diverse professionals at our **Palmdale, CA** location!
The selected candidate will be responsible for the following: Performs assigned fire fighting and emergency response services tasks with established protocols and standard procedures. Participates as a member of the emergency response unit in providing fire suppression and prevention services, medical services, hazardous spill response and specialized rescue operations in accordance with NFPA, Federal, State and local standards. Conducts inspections of fire extinguisher and fire systems (e.g., sprinklers, fire hydrants). May operate and maintain emergency response vehicles.
**Basic Qualifications:**
+ High School diploma or equivalent and four (4) years of relevant experience OR 4 years of additional relevant education
+ Must have DOD/IFSAC Firefighter 1&2, Airport Firefighter, HazMat Awareness and Operations and a current EMT-B certification.
+ **Must have current active DoD Secret clearance**
+ Ability to obtain and maintain multiple program accesses (PARs). These must be obtained as a condition of employment.
+ Must be able to pass medical exam
**Salary Range:** $57,800 - $96,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Woodland Hills) Sr. Principal Mixed-Signal Electronics Design Engineer - Digital/Analog Circuitry2024-03-14T06:15:31-04:00https://northropgrumman.jobs/36A9C1FC9C4F489D95DAAFF1960EE65D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is a trusted provider of mission-enabling solutions for global security. Our Engineering and Sciences (E&S) organization pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
We are looking for you to join our team as a Mixed-Signal Electronics Design Engineer - Digital/Analog Circuitry based out of Woodland Hills, CA.
**What You'll Get To Do:**
As part of the electrical engineering team, you will have the opportunity to deploy with our mission systems and provide the following support to our military/government end users:
+ Collaborate with electrical and system engineering fellows for development of Space Navigation Inertial Measurement devices
+ Work with our rad-hard and NH&S SMEs to build robust electrical designs for space applications
+ Troubleshoot Hardware/Software issues;
+ Provide direct support to our production, test engineering and integration teams
**Roles and Responsibilities:**
+ Design custom mixed-signal electronic circuits for space applications (e.g. including DACs, ADCs, microcontrollers, and FPGAs).
+ Research advancements in technology to provide innovative solutions with overarching goal to improve performance while reducing SWaP (size, weight, and power).
+ Selection of components to meet technical performance requirements; creation of parts lists for new assemblies; and liaison with Procurement Team to ensure parts are available for prototyping and production.
+ Compose FPGA/ARM firmware and design GUI for product validation and verification.
+ Integration of Hardware & Software into a functioning system.
+ Generate engineering change notices when needed, update models / drawings, and release appropriate documentation into Product Lifecycle Management (PLM) databases.
+ Work under general direction to independently determine and develop viable solutions.
**Basic Qualifications:**
+ Bachelors of Science in STEM field with a minimum of 9 years of relevant work experience, or a Master's degree in STEM with a minimum of 7 years of related work experience, or a PhD with 4 years of experience
+ Extensive experience in analog, digital, and mixed-signal electronic system design including circuit simulation and worst-case circuit analysis.
+ Experience developing embedded firmware and software for data acquisition and control applications using C / C++ / C# for microcontrollers and Xilinx SOCs.
+ Experience with electrical schematic capture and board layout tools such as Expedition or Cadence.
+ Well versed in hands-on use of laboratory test equipment including oscilloscopes, function generators, DMM, BERT, power analyzers, network analyzers, and signal analyzers.
+ US Citizenship
+ Ability to obtain/maintain a DoD Secret Clearance
+ Ability to work full-time onsite
**Preferred Qualifications:**
+ Design experience in analog, low noise, filters, shielding, EMI/EMC for space and nuclear radiation environments.
+ Demonstrated excellence in delivering fully functional embedded systems for data acquisition and control applications including intuitive operator interface.
+ Proficient with circuit design simulation tools such as Spice, Excel, MATLAB, etc.
+ Test and troubleshooting of electronics and electrical systems related to analog/mixed-signal, data acquisition, communications, and power conversion/distribution.
+ High Density Interconnect PWB design experience.
+ Experience utilizing and troubleshooting high-speed protocols such as PCIExpress, Gigabit Ethernet, TCP/UDP (sockets).
+ Experience with Visual Studio.NET, WPF, Keil, MPLAB, GIT and/or SourceSafe.
+ Strong verbal & written communication and presentation skills.
+ Active DoD Secret Clearance
**Salary Range:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Melbourne) Principal System Test Engineer2024-03-14T06:15:30-04:00https://northropgrumman.jobs/841AB271C66A47EBADABCDDEE50006BA26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Principal System Test Engineer** to join our team of qualified, diverse individuals within our Test and Evaluation Organization. This position will be located in **Melbourne, FL** .
In this role, you will support the Core Mission Systems Software team by researching, developing, designing, and testing electrical components, equipment, systems, and networks. In addition, design electrical equipment, facilities, components, products, and systems.
**Key Responsibilities:**
+ Involved in lab operations including, but not limited to, laboratory design, test planning, scheduling, and execution and reporting.
+ Ensure test stations and assets are configured and ready to test by deploying software and configuring hardware on the test stations.
+ Work closely with QA to maintain and validate test station software and hardware.
+ Perform TRR, ATP for test stations and integration events.
+ Brief management of progress and status of the all the labs.
+ Basic Administration on Windows and Linux server and clients to support test article.
+ Manage daily lab operations and activities to follow policies and procedures from Northrop Grumman Corporation and SIT&E.
+ Monitor lab hardware and software license and warrantee coverages.
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
**Basic Qualifications:**
+ Must have a Bachelor of Science degree in a Science, Technology, Engineering, or Math (STEM) discipline AND 4 years of related professional/military experience OR a Master of Science degree in a STEM discipline AND 2 years of related professional/military experience OR a STEM Ph.D. AND 0 years of related professional/military experience
+ Must have the ability to obtain and maintain a DoD Secret clearance prior to the commencement of employment
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance prior to the commencement of employment
+ Must have CompTIA Security+ certification
**Preferred Qualifications:**
+ Familiar with Test Equipment, such as digital multimeters, O-scopes, power supplies etc.
+ Familiar with Electronic Principles, MIL STD protocol for 1553, 429, and 1394 busses.
+ Familiar using scheduling tools, such as MS Project.
+ Familiar with Linux and Windows Operating Systems.
+ Familiarity with avionics systems and equipment.
+ Bachelor degree in technical/engineering discipline and prior experience with aircraft avionics, aircraft electrical systems, or Test Station Operations with a minimum of 5 years of experience.
**Salary Range:** $92,600 - $139,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Manager Supply Chain Programs 22024-03-14T06:15:30-04:00https://northropgrumman.jobs/A7B45019882541D19C0601812FE947D626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a dedicated Manager Supply Chain Program to join our team in **Baltimore, MD.** The Manager Supply Chain Programs is responsible and accountable for the capture/proposal, strategy, material finance/CAM management, and execution of all material and services content for the Advanced Radar System (ARS) Operating Unit (OU) within the Combat Sensors Business Unit . Acts as the main focal point for the Program Management Office (PMO) for the entire supply chain and coordinates cross-functionally to ensure that all supply chain commitments are met, and that appropriate mitigation plans are developed and executed to address any programmatic risks related to suppliers.
Responsible GSC leader of professionals within the ARS OU. Develops the material strategy and leads the activities for GSC in all phases of the Business Acquisition Process (BAP). Provide differentiated and affordable supply chain solutions for existing programs and new business pursuits. Ensures that prior to program execution, all elements of the proposed program(s) plans have complete and accurate schedules, budgets, make/buy plans, and procurement and subcontracts strategies. Leads supply chain program execution and is accountable for resource planning, material cost, forecasting, schedule performance, risk/opportunity management, and supply chain issue resolution. Conducting performance reviews within the HR process and in a timely manner, with adequate opportunity for employee feedback & development action . Implement strategies and actions to ensure timely delivery of materials and subcontract services in support of program needs. Support achievement of ARS OU financial commitments. Drive continuous improvements in supply chain/subcontracts related processes via collaboration with other functions, programs, and the extended supply chain. Ensure compliance with the wide range of regulatory and other requirements and achieve supplier diversity requirements. Collaborate with manufacturing, mission assurance, and engineering to ensure successful delivery of material and subcontracted items.
The successful candidate will hold strong leadership skills, excellent oral and written skills, solid communication skills, knowledge of terms and conditions, an aptitude for DoD contracting and its related requirements and the ability to lead a diverse team with varying requirements and priorities in support of the business. The role will require days in the office and is coded as hybrid, as some days may be worked remote. This manager will be responsible for leading up to six supply chain planning professionals and will report to the Combat Sensors Business Unit Supply Chain Manager.
**Position Responsibilities:**
+ Acts as the main focal point for the PMO for the entire supply chain and coordinates cross-functionally to ensure that all supply chain commitments are met, and that appropriate mitigation plans are developed and executed to address any programmatic risks related to suppliers.
+ Develops the material strategy and leads the activities for GSC in all phases of the BAP.
+ Ensures that prior to program execution, all elements of the proposed program(s) plans have complete and accurate schedules, budgets, make/buy plans, and procurement and subcontracts strategies.
+ Material cost and schedule management (EAC) using Earned Value Management.
+ Leads supply chain Program execution and is accountable for resource planning, material cost, forecasting, schedule performance, risk/opportunity management, supplier performance, and supply chain issue resolution.
+ Briefs the PMO and executive management on the status and issues affecting Program cost and schedule performance.
+ Cross functional coordination as it pertains to supplier management, specifically with Operations, Quality Mission Excellence (QME), Procurement, and PMO IPT leads
+ Material risk management, including identification, and mitigation planning and execution.
+ Briefs Program and Executive management and Customer on the status and issues affecting Supplier performance and cost.
**MANDATORY QUALIFICATIONS:**
+ Bachelor's Degree with a minimum of 9 years work experience in Supply Chain Management, Planning, Procurement, Program Management, Contracts, Subcontracts/Procurement or related field , or a Master's Degree with a minimum of 7 years' of related experience as discussed above.
+ 3+ years of experience as a team lead and/or a management of people role
+ Strong leadership, communication, and writing skills
+ Expertise in Microsoft Word and Excel
+ US Citizenship and a DoD Secret Clearance to start the role, with the ability to obtain an SAP and TS Clearance
+ Knowledge of SAP, and EVMS and CAM Experience (Budget Management, Contract proposal support, Cost Estimating)
+ Must be able to work on-site in Linthicum, MD in a hybrid schedule.
**PREFERRED QUALIFICATIONS:**
+ At least 1-2 years of previous experience in Supply Chain Management, Operations, Business Management, or related field
+ Demonstrates success/problem solving skills in supply chain, business management, operations, logistics, engineering, or program management
+ Knowledge of running large scale programs with significant material content, including significant proposal experience
+ Prior leadership in developing team or working with teams &/or mentoring others in career development activities
+ Working knowledge of Federal and Defense contracting requirements including ITAR and FAR/DFARS
+ Proficient in Earned Value Management Systems, supplier financial reporting/forecasting, contracting/subcontracting, or supplier/program/product scheduling
+ Ability to successfully manage complex supplier specifications, drawings, SOW's, and supplier issue resolution
+ Strong business acumen and ability to operate in a face paced development environment that consists of leading-edge technology suppliers and non-traditional supply base.
**Salary Range:** $131,800 - $197,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Orlando) Systems/Principal Systems Engineer (AHT)2024-03-14T06:15:29-04:00https://northropgrumman.jobs/19990331BAB74379B49F12BE6901CF7926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.**
Northrop Grumman Defense Systems is seeking a Systems Engineer/Principal Systems Engineer with prior design and test experience to join our dynamic and diverse team environment in Orlando, FL. The selected candidate will be responsible for technical analysis, translating requirements into a proposed design, and assisting in the development and implementation of assigned features on a CDS system.
**Roles and Responsibilities:**
+ Performs technical planning, system integration, verification and validation, cost and risk, and supportability and effectiveness analyses for total systems.
+ Analyses are performed at all levels of total system product to include: concept, design, fabrication, test, installation, operation, maintenance and disposal.
+ Ensures the logical and systematic conversion of customer or product requirements into total systems solutions that acknowledge technical, schedule, and cost constraints.
+ Performs functional analysis, timeline analysis, detail trade studies, requirements allocation and interface definition studies to translate customer requirements into hardware and software specifications.
**Basic Qualifications:**
**This requisition is open as a dual level opportunity. We may fill at either an Systems Engineer (level 2) or Principal Systems Engineer (level 3).**
+ **Level 2:** Bachelor's Degree in Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited university with 2 years experience OR Master's Degree in Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited university with 0 years experience
+ **Level 3:** Bachelor's Degree in Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited university with 5 years experience OR Master's Degree in Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited university with 3 years experience
+ Strong understanding of general system engineering principles
+ Experience with Agile development
**Preferred Qualifications:**
+ Active in scope DoD Secret clearance
+ Integration and Test experience
+ Cyber experience
**Salary Range:** $75,300 - $112,900
**Salary Range 2:** $92,600 - $139,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Redondo Beach) Principal Financial Analyst2024-03-14T06:15:28-04:00https://northropgrumman.jobs/017DDA8E37FC40E395E6C598AC61BA7C26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems is seeking a Principal Financial Analysts (Level 3) to join us in the pursuit of top-tier financial performance. The position is based out of either Rancho Bernardo, CA or Redondo Beach, CA, and will report to the Sector manager of financial planning, & analysis and will have the following responsibilities:
Essential Functions:
+ Development of the consolidated Sector Long Range Strategic Plan and Annual Operating Plan
+ Management of division financials, including: financial and cost forecasting, reporting and analysis, profitability analysis, and working capital analysis (accounts receivable forecasting, treasury forecasting, unbilled analysis, and aged receivables analysis)
+ Support Sarbanes-Oxley **(SOX)** compliance and documentation
+ Work with the finance and business management teams to develop strategies to improve financial performance based on metrics
+ Support the development of presentations for, and occasionally brief to, Division/Sector/Corporate leadership
+ Interface with business, program, and corporate personnel
We offer flexible work arrangements , phenomenal learning opportunities , exposure to a wide variety of projects and customers, and a very friendly inclusive environment! We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
Our Employee Resource Groups (ERGs) provide benefits for the member , our leaders and the company. Our ERGs offer opportunities to be a friend, be active , be a volunteer, be a leader, to be recognized and to be yourself. Every ERG is inclusive of all employees! Does this sound like what you're looking for?
At Northrop Grumman , we are on the cutting edge of innovation-- building the next generation of sophisticated aircraft to protect our country . Our diverse portfolio of programs means there are endless paths to cultivate your career . We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare , a 9/80 schedule, and a great 401K matching program.
**Basic Qualifications for Principal Financial Analyst :**
+ Bachelor's degree with 6 years of Finance, Accounting, and/or Business-related experience, or a Master's degree with 4 years of stated experience
+ **Public Accounting experience** (each year of "Big 4" or relevant Public Accounting experience counts for 2 years of experience above)
+ Proficiency in Microsoft Office Suite (Excel, Word and PowerPoint)
+ **Ability to obtain and maintain DoD Secret Clearance**
**Preferred Qualifications:**
+ Degree in Finance, Accounting, Economics, or Business-related discipline
+ Experience with SAP, Hyperion Planning software (or another similar software), database software
+ Advanced knowledge of Microsoft Office Suite
+ Expertise in financial analysis
+ Active DoD Secret Clearance
+ CPA License
+ Knowledge of EVMS/FAR/DFARS
**Salary Range:** $88,700 - $133,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-OK-Oklahoma City) Program Cost Schedule and Control Analyst (Level 2) - B22024-03-14T06:15:28-04:00https://northropgrumman.jobs/1B74065DAB664F138F43887E88BF35ED26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Northrop Grumman Defense Systems (NGDS) is currently seeking a **Program Cost and Schedule Control Analyst (Level 2)** to assist with all business activities associated with several rapidly growing programs. This position reports to the Lifecycle Sustainment & Modernization Solutions Operating Unit (OU) Business Manager and partners with the applicable Program Management Team to establish strategy, prioritize requirements, and achieve financial goals while ensuring the integrity of business processes. This position is located on-site in **Oklahoma City, OK (No Remote work is available for this position).**
Responsibilities / Duties:
+ Prepares in-depth budgets and schedules for medium sized programs and performs analyses to ensure that contracts are within negotiated parameters and government cost control guidelines
+ Performs financial analyses and prepares variance explanations, estimates to complete (EACs), and monthly corporate and customer reports related to cost/schedule performance, staffing, and sales outlook
+ Prepares program baselines, maintains artifacts, analyzes monthly performance, and prepares monthly financial reports and customer deliverables
+ Performs schedule risk assessments to identify and mitigate program cost and scheduling risks
+ Ensures adequate funding availability by maintaining accurate records of expenditures, directing preparation of expenditure projections, and submitting timely requests for additional funding to the government
+ Prepares and evaluates ROMs and proposals
+ Interfaces with customer; works under limited supervision
Basic Qualifications:
+ This position emphasizes skills and experience. Will consider high school diploma or equivalent (GED) with at least 7 years of relevant experience, 3 years of relevant experience with Bachelor's degree in Finance, Accounting or related discipline or a Master's degree with 1 years relevant experience.
+ Technical skills in budgeting, forecasting, and financial analysis.
+ Proficient with MS Office (Excel, PowerPoint, Word).
+ Strong interpersonal skills; ability to work in a team environment
+ U.S. Citizenship required
Preferred Qualifications:
+ Progress Payment Billing experience
+ Complete understanding of contract types and terminology
+ Earned Value Management experience.
+ Experience with MPM, Cobra, Winsight, SAP
+ Experience with MS Project and/or Scheduling
+ Current DoD Secret Clearance
+ Understanding of DoD contracts, government Cost Accounting Standards, Commercial contracts, and the FAR.
**Salary Range:** $59,000 - $88,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-AL-Huntsville) Program Cost & Schedule Control Analyst/Princ Pgm Cost & Schedule Analyst2024-03-14T06:15:28-04:00https://northropgrumman.jobs/5F244FA1A3E14319873E512DE099FC5226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
**Northrop Grumman Defense Systems (NGDS)** is seeking a qualified **Program** **Cost Schedule Control Analyst (Level 2) or Principal Program Cost and Schedule Control Analyst (Level 3)** to join our team of high performing, diverse individuals. This position will be located **on-site in** **Hunt** **sville, AL (No teleworking is available for this position).**
The is a GBMR Division is a fast paced, dynamic, and complex environment that requires a candidate to possess self-initiative, strong analytical skills, and solid leadership characteristics. Candidate will have the following, but not limited to, responsibilities:
+ Prepare budgets and schedules for contract work and perform and/or assists in financial analyses such as funding profiles, sales outlook, and variance analysis
+ Prepare program plans to ensure program requirements and statement of work are captured and scheduled
+ Perform analyses and prepare reports in order to ensure that contracts are within negotiated and agreed-upon parameters and government cost control guidelines
+ Ensure adequate funding availability by maintaining accurate records of expenditures, directing preparation of expenditure projections, and submitting timely requests for additional funding to the government
+ Conduct cost analysis to develop EACs, generate financial inputs, and support monthly cost and schedule reviews
+ Identify pressures and opportunities, and develop recovery plans as required
+ Set up cost control systems (e.g. Costpoint and COBRA) and incorporate changes into the EVMS system to maintain cost and schedule baselines
+ Provide support to Integrated Product Teams, Cost Management, and Business Management Managers
+ Assist CAMs with EVMS activities including: WBS alignment, time phasing of the tasks, developing and documenting earned value methodologies, monthly performance measurement, estimate to complete analysis and updates, and variance analysis research and reporting
+ Support audits and reviews requested by programs, customers, and company management
+ Prepare government cost performance reports and preparation and/or review of performance measurement variance analysis (e.g. CFSR, CSDR, IPMR)
+ Earned Value Management Program Experience with familiarity to EIA-748 ANSI EV Guideline Reviews, and SOX Controls
**This requisition may be filled at a higher grade based on qualifications listed below.**
**Basic Qualifications Program** **Cost Schedule Control Analyst (Level 2):**
+ This position emphasizes skills and experience. Will consider high school diploma or equivalent (GED) with at least 7 years of related experience in program control, cost planning, business, finance, and/or similar industry related fields. Will consider 3 years of related experience in program control, cost planning, business, finance, and/or similar industry related fields experience with Bachelor's degree or Master's degree with 1 year of experience in program control, cost planning, business, finance, and/or similar industry related experience
+ Proficient with MS Office including Excel (with Macros, Pivot tables and VLOOKUP) and PowerPoint
**Basic Qualifications Principal Program Cost and Schedule Control Analyst (Level 3):**
+ This position emphasizes skills and experience. Will consider high school diploma or equivalent (GED) with at least 10 years of related experience in program control, cost planning, business, finance, and/or similar industry related fields. Will consider 6 years of related experience in program control, cost planning, business, finance, and/or similar industry related fields experience with Bachelor's degree or Master's degree with 4 year of experience in program control, cost planning, business, finance, and/or similar industry related experience
+ Proficient with MS Office tools including Advanced Excel (Pivot Tables, V-Lookup, conditional formatting and most of the advanced features/functions)
**Preferred Qualifications:**
+ Experience utilizing Earned Value Management principles and practices
+ Possess intermediate to advanced skills working in Deltek systems like Cobra and/or MPM
+ Experience with Costpoint and/or SAP
+ Experience successfully supporting a DoD monthly reporting & financial rhythm
+ Understanding of and experience with providing support to Integrated Product Teams (IPT)
+ Experience in Integrated Baseline Review (IBR) and DCMA Joint Surveillance Reviews (JSRs)
+ Candidate must gain and have an understanding of, and adherence to, company policies, procedures and internal controls
**Salary Range:** $68,800 - $103,200
**Salary Range 2:** $84,600 - $127,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-McLean) 2024 Business Management Administrative Intern - McLean VA2024-03-14T06:15:28-04:00https://northropgrumman.jobs/A4086E8109CA4C9C8D47ECAEC27F2C6126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
An internship at Northrop Grumman is unique. Sure, you'll learn new skills, explore our enterprise, network with experts, connect with thought leaders, and finish with a resume that opens doors. But you'll gain something even more valuable: pride in what you've done. Join us and launch your career. We'll support you with training, employee resource groups, and our shared vision of global security.
**Northrop Grumman** is seeking a **Business Management Administrative Intern** to join the Space Systems Sector Intern Program. This position will be located in **Mclean** **, V** **A.**
**Basic Qualifications**
**A candidate must meet ALL of the below criteria. The candidate must:**
+ Be a student who is enrolled full-time and pursuing an undergraduate or graduate degree from an accredited college/university and will be enrolled full time in Fall 2024.
+ Be majoring in or having majored in a Bachelor's degree in Business Management or business related field
+ Be available to work full-time (40 hours per week) for at least 10 weeks during summer 2024 **.**
+ Be able to obtain a U.S. Government security clearance (U.S. citizenship is a pre-requisite)
**Preferred Qualifications:**
+ Recent relevant experience in academic project, coursework, internship or work experience
+ Have an overall cumulative GPA of 3.0/4.0 or higher.
**Intern Salary Ranges:**
Bachelor's: $22.00 to $27.00 per hour
Master's: $32.50 to $34.75 per hour
At Northrop Grumman, our internship pay rates are standardized and take into account three main factors: the anticipated graduation date of the individual, the cost of labor of the geographic location, and whether the internship is considered technical or administrative.
campusjobs
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Salt Lake City) Precision Electromechanical Assembler 2 (2nd Shift)2024-03-14T06:15:28-04:00https://northropgrumman.jobs/A7A3CC879B9741E8BBE6AE3D013261C126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman manufactures some of the world's most technically advanced products. Our manufacturing plants are highly automated, cutting-edge centers of excellence where employees leverage the latest technology, including the strategic use of automation, 3-D printing and robotics. There is a myriad of job functions associated with the manufacture of our products that range from machinists, engineers, operation program managers, and quality inspectors. Our mission is to deliver differentiated value to our programs, by manufacturing products with the highest possible quality at the most affordable rate. Search our current job openings and join us to be at the front line of innovation.
The Fiber Optic Gyros (FOG) team at Northrop Grumman Mission Systems (NGMS) is looking for you to join our team as a **Precision Electromechanical Assembler 2 (2nd Shift)** based out of **Salt Lake City, UT.**
**Roles and Responsibilities:**
+ Perform wiring and component installation and hand soldering, disassembling, modifying, reworking or reassembling units using various hand and pneumatic tools.
+ May perform mechanical assembly, cable assembly, component forming and mounting, high reliability assembly.
+ Expected to work with various chemicals and solvents for long periods.
+ Complete part marking and simple continuity checks on work in process and completed units.
+ Work from verbal or written instructions that provide detailed methods and sequence of assembly operations in addition to using wiring diagrams and assembly drawings.
**Basic Qualifications:**
+ High School diploma and 2 years additional education and/or related experience.
+ Must be available for 2nd shift.
+ Must possess basic, verbal and written communication skills for minimal interaction with others.
+ Able to achieve J-STD-001 certification.
**Preferred Qualifications:**
+ Knowledge of techniques, approaches, guidelines, policies and procedures in the job area.
+ Must be able to perform assembly techniques in order to make modifications, reworks and assemblies.
+ J-STD-001 solder certification or equivalent soldering skills.
+ Ability to perform basic problem-solving techniques which are fully defined and available through the supervisor, coworkers and written materials.
**Salary Range:** $38,600 - $64,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-McLean) 2024 Financial Reporting Intern - McLean VA2024-03-14T06:15:28-04:00https://northropgrumman.jobs/B52569A1A0984B61928D7E84C207E2B826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
An internship at Northrop Grumman is unique. Sure, you'll learn new skills, explore our enterprise, network with experts, connect with thought leaders, and finish with a resume that opens doors. But you'll gain something even more valuable: pride in what you've done. Join us and launch your career. We'll support you with training, employee resource groups, and our shared vision of global security.
**Northrop Grumman** is seeking a **Financial Reporting Intern** to join the Space Systems Sector Intern Program. This position will be located in **Mclean** **, V** **A.**
**Basic Qualifications**
**A candidate must meet ALL of the below criteria. The candidate must:**
+ Be a student who is enrolled full-time and pursuing an undergraduate or graduate degree from an accredited college/university and will be enrolled full time in Fall 2024.
+ Be majoring in or having majored in a Bachelor's degree in Business Management or business related field
+ Be available to work full-time (40 hours per week) for at least 10 weeks during summer 2024 **.**
+ Be able to obtain a U.S. Government security clearance (U.S. citizenship is a pre-requisite)
**Preferred Qualifications:**
+ Recent relevant experience in academic project, coursework, internship or work experience
+ Have an overall cumulative GPA of 3.0/4.0 or higher.
**Intern Salary Ranges:**
Bachelor's: $22.00 to $27.00 per hour
Master's: $32.50 to $34.75 per hour
At Northrop Grumman, our internship pay rates are standardized and take into account three main factors: the anticipated graduation date of the individual, the cost of labor of the geographic location, and whether the internship is considered technical or administrative.
campusjobs
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(GBR-Cheltenham) Senior Business Analyst2024-03-14T06:15:27-04:00https://northropgrumman.jobs/8645B20DC27849DAB5284E4E36453B9C26**Your Opportunity to Define Possible** **.** **Our Opportunity t** **o Deliver the Nation's Security** **. Together** **.**
**Salary:**
**Role clearance** **type:** Must be able to obtain and maintain highest UK government clearance
**Location:** Cheltenham, UK
**About Your Opportunity:**
In Northrop Grumman the senior business analyst integrates with clients throughout the lifecycle of the project, and is recognised for their ability to bring clarity to the problems at hand. They are experienced in shaping and influencing a wide range of stakeholders in ambiguous environments with potentially conflicting requirements.
**Your Benefits:**
+ **Flexible working schedules -** we offer flexible and hybrid working arrangements. Talk to us at the application stage about any scheduling preferences you may have.
+ **Flexible Benefits Package** - choose which NGUKL benefits you want to satisfy your personal needs. Core Benefits provided for you are Healthcare, Dental, Life Assurance and Pension. Benefits you can flex include Critical Illness Cover, Health Cash Plan, and Health Assessments.
+ **Employee Incentive Programme -** exceptional performance is recognized through our annual incentive programme which is awarded to top performers who excel.
+ **Career Development -** opportunity for ongoing professional development and career growth opportunities.
**Your Responsibilities** :
+ Proactively identifies stakeholders and manages relationships, supporting the priorities of the delivery team
+ Working with stakeholders to elicit, capture and elaborate their requirements, documenting requirements to a level that enables effective delivery of required changes without prescribing solutions
+ Building strong relationships with the user community and understanding the drivers behind their expressed requirements
+ Acting as a proxy product owner where necessary to plan and prioritise work, and extending the role of the product owner in complex system-of-systems environments
+ Acts as a liaison between the solution delivery team and their customers in the development and implementation of new solutions, and enhancement of existing solutions to meet business needs
**Your Experience** **:**
You will be familiar with working within agile software delivery teams, writing tickets for the delivery team to meet delivery objectives. You will be a team representative in meetings with the customer and wider stakeholders, calling upon colleagues as needed for technical depth. You are adept at incorporating systems thinking into the design and planning of complex projects, and can contribute to shaping the project lifecycle from inception to completion.
**Your Future Team** **:**
The business analyst works directly with clients who want to bring about changes within their organisation.
We believe that creating a team that values diversity and fosters inclusion is essential to great performance. We know the best ideas come from diversity of thought, background, perspective, culture, gender, race, age and many other elements. We welcome candidates from all backgrounds and particularly from communities currently under-represented within our industry . We treat everyone with respect and foster safe and inclusive environments.
**About Our Responsibilities:**
Our customers operate in unique environments which offer new and exciting challenges, cultivating a place where you can learn and thrive, working alongside the best minds in industry. We'll give you space to develop your career, where your ideas can shape the future of our dynamic business.
We promote collaboration to achieve more than we could imagine, together. And w ithin a respectful and inspirational environment, we value what you say and do.
**How to Apply:**
**Interested in our opportunity?**
**Yes** - then simply submit your application online. Your application will be reviewed by one of our expert recruiters who'll then respond advising you of the outcome and next steps for successful candidates.
**Possibly, I'd like to find out more** - then connect direct with talentenquiries@uk.ngc.com , where one of our recruitment business partners will be happy to support you with any enquires.
Background checks and potentially security clearance form part of the recruitment process, our team will inform you of the procedures when required.
**Northrop Grumman UK:**
Work with a global brand that makes a real contribution to our nation's security and future. At Northrop Grumman UK, the brightest minds come together to push the boundaries and Define Possible. As leaders in the digital transformation of Aerospace, Defence and Intelligence we are providing ground-breaking outcomes for our customers.
**UK Cyber & Intelligence Business** **:**
Our UK Cyber & Intelligence business combines modern software development approaches with a rich heritage and experience in the Defence and security sectors. Our customers have complex and sensitive data and information requirements requiring a mission partner who quickly understands the context, delivering and sustaining a portfolio of challenging technology projects at scale and pace, supporting them through an ambitious digital transformation programme.
**UK Defence Business** **:**
Our UK Defence business is a Sovereign software and systems centre of excellence. As well as developing and supporting UK wide and internationally deployed multi-domain command and control systems, our work is critical to the modern backbone of the Royal Navy. We pioneer - with fierce curiosity, dedication, and innovation, we seek to solve the world's most challenging problems.
**Find out more** : https://www.northropgrumman.com/careers/job-search-united-kingdom/
\#IND-REDHP
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce.(USA-LA-Lake Charles) P-8 SCA Electronics Maintenance Tech 3 / Avionics - Multiple Shifts2024-03-14T06:15:27-04:00https://northropgrumman.jobs/D3527452448F44B3A2234F4259E2116526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Are you ready to put your experience to work at Northrop Grumman? If so, our Defense Systems wants you to join our Team as a P-8 SCA Electronics Maintenance Tech 3 - Avionics. This position is located Lake Charles, LA.**
**The Navy P8-A is based on the Boeing 737- 800 platform. The P-8 operates in anti-submarine warfare (ASW), anti-surface warfare (ASUW), and intelligence, surveillance and reconnaissance (ISR) roles. This is a maintenance and sustainment program performing depot level operations.**
****These positions are Service Contract Act positions.**
****These positions will be hired for multiple shifts.**
*****This program is contingent on contract award and has an anticipated start date of October 2024.**
**Duties Include:**
+ **Installs, tests, troubleshoots and repairs aircraft avionics and electrical system components in accordance with testing, engineering, maintenance and installation directives.**
+ **Performs aircraft maintenance, repair, modification, installation, and testing tasks.**
+ **Determines the sequence for installing, removing, maintaining, repairing, or fabricating critical aircraft components, or electrical/electronic, mechanical, or instrumentation systems.**
+ **Works from blueprints, engineering orders, schematics, repair manuals, and other technical documents on aircraft electrical/electronic, mechanical, plumbing, and hydraulics, power plant, structures, or instrumentation systems.**
+ **Constructs, troubleshoots, calibrates, adjusts, tests, and maintains various types of complex aircraft equipment, components, devices, or systems.**
+ **Maintain schedules and quality standards.**
**Other Duties May Include:**
+ **Work with jigs, fixtures, and various tooling, as operations require.**
+ **May be required to work in other fields incidental to, and in connection with, completion of assignment.**
+ **May be required to perform other related duties, as assigned.**
+ **This position may provide technical guidance to lower level technicians.**
**Basic Qualifications:**
+ **High School diploma or equivalent**
+ **A minimum of 10 years of relevant experience and/or education in related field**
+ **Able to perform work in an approved environment during specified work hours.**
+ **Ability to be subject to a variety of physical conditions.**
+ **Able to wear respirator and other safety gear.**
+ **Ability to be subject to areas which could cause various phobias due to height or closed spaces.**
+ **Able to use a variety of manual hand tools such as crimpers, strippers, wire cutters, heat shrink gun, etc.**
**Preferred Qualifications:**
+ **A&P license**
+ **10 or more years of aircraft avionics experience**
+ **Able to change physical locations based upon need (including physical ability to travel)**
+ **Able to obtain/maintain a Secret DoD clearance.**
+ **Experience implementing and / or developing new test procedures**
**Salary Range:** $34 - $34
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Melbourne) Level 4 Sr Principal Proposal Analyst2024-03-14T06:15:26-04:00https://northropgrumman.jobs/8DF530F613B04600BF066839D419A4CA26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman has an opening for a **Level 4 Sr. Principal Proposal Analyst** to support efforts associated with proposal development, planning and scheduling during the supplier acquisition process. This position will be located in Melbourne, Florida **.**
The selected candidate will perform cost estimating/pricing related duties and analysis in accordance with request for proposal (RFP) specifications and in compliance with regulatory requirements. They will coordinate with functional departments to facilitate the collection and accumulation of cost, scheduling, and performance elements and research and analyze historical data and develop cost models to support proposal efforts. Additionally, the selected candidate will coordinate activities and participates in fact-finding meetings and in should-cost and pricing reviews with management and customer representatives.
Analyst will be responsible for pricing activities for material estimating under multiple contract types, to include, but not limited to, Firm Fixed Price (FFP), Cost Type, and Indefinite Delivery and Indefinite Quantity (IDIQ). Analyst will support the entire proposal process from request for proposal (RFP) and authorization to proceed (ATP), coordinating Global Supply Chain (GSC) material and labor inputs and approvals through the program approval process, proposal submittal, supporting customer requests for information (RFIs) providing government audit support, fact-finding, negotiation, and the sweep process. This position will collaborate across multiple functions such as Contracts, Pricing & Estimating, Engineering, Global Supply Chain, and Program Management to prepare and submit first-time quality, compliant, and on-time proposals to support the customer commitments as well as NG's financial goals.
Specific duties include:
+ Understand Statement of Work (SOW) for both NG and Suppliers and prepare material estimates.
+ Prices a consolidated bill of material (CBOM) provided from engineering/program utilizing supplier proposals/quotes, PO history, engineering estimates, etc. and providing compliant, quality basis of estimates and documentation to support.
+ Requests supplier proposals/quotes and/or lead times from Global Supply Chain utilizing price/delivery information requests (PDIRs) through Supply Chain Integrated Proposal System (SCIPS)
+ Prepares labor estimates for all Global Supply Chain functions.
+ Prepares Global Supply Chain Cost Volume in support of customer proposals ensuring compliance and quality.
+ Prepares and supports presentation and approval of material estimates and Global Supply Chain labor estimates at the required approval value level.
+ Prepare and track material variance allowance (MVA).
+ Prepare price analysis for all quotes/proposal on CBOM under $2M.
**Basic Qualifications:**
+ Bachelor's degree with 10 years of pricing, estimating, finance, contracts, proposals, and/or business-related experience, OR a Master's degree with 8 years of relevant experience.
+ Demonstrated experience creating spreadsheets and performing data analysis to create meaningful insights for decision-making
+ Must have the ability to obtain and maintain a Secret Clearance and Special Program Access
**Preferred Qualifications:**
+ Ability to perform and apply cost accounting, pricing and estimating concepts and principles.
+ Ability to work as an integral member of the Subcontract/Procurement team to achieve Global Supply Chain, Program, and/or NGAS objectives, while maintaining compliance during all stages of the proposal/acquisition process
+ Ability to meet deadlines in a challenging, multi-tasking environment while managing multiple proposals with excellent analytical skills and attention to detail.
+ Proficiency with MS Office Suite, specifically Excel and Word
+ Self-starter
+ Prior experience in Material Estimating in a Proposal Environment
+ Knowledge of SAP
+ Intimate background with Global Supply Chain Subcontract/Procurement requirements, FAR proposal adequacy and execution
+ Familiarity with the concept and application of Cost Improvement Curves
+ Working knowledge of government contract environment (FAR and DFARS)
**Salary Range:** $95,000 - $142,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Material Review Board (MRB) Mission Assurance Manager 22024-03-14T06:15:26-04:00https://northropgrumman.jobs/C19E2431B76B4240955F732B4BE5AC6D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems sector is seeking a **Material Review Board (MRB) Mission Assurance Manager 2** to lead our team of qualified, diverse MRB Analysts located in **Palmdale, CA** . Telecommuting/remote is not an option for this position. This position requires the **ability to work a 9/80 schedule, 1st shift.**
The successful candidate will develop and implement program quality plans, programs, and procedures using statistical quality control statistics, lean manufacturing concepts, and six-sigma tools and analyses. Ensures that performance and quality products conform to established company and regulatory standards. Reviews, analyzes and reports on quality discrepancies related to assembly, process, mechanical, electrical and electro-mechanical systems. Investigates problems and develops disposition and corrective actions for recurring discrepancies. Interfaces with manufacturing, engineering, customer, vendor and subcontractor representatives to ensure requirements are met. Recommends corrective actions, dispositions and modifications.
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement, and respond vigorously to change. You ensure that your team has the information and tools needed to perform at their best. You demonstrate our committed pursuit of applying innovation to meet the requirements of our customer. You craft and execute strategies that result in sustainable value creation that delivers measurable results.
Basic Qualifications:
+ Bachelor's degree with a minimum of 9 years of experience in the Aircraft, Aerospace or Manufacturing Industries in a Quality Environment
+ Active MRB Authority
+ 2-3 years of Leadership/ Supervisory or Lead experience
+ Extensive prior work experience in the application of quality principles and practices (e.g., quality engineering, inspection, material review, etc.) in a manufacturing environment.
+ Demonstrated ability to document, plan, brief executive leadership, manage projects, and lead effectively in a team setting.
+ Demonstrated ability to deliver results with minimal oversight and in a multi-discipline team environment, providing insight and solutions to complicated technical issues.
+ Ability to obtain a DoD Secret Clearance and Special Program Access
Preferred Qualifications :
+ Active DoD Secret Clearance
**Salary Range:** $138,100 - $207,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-AZ-Gilbert) Manager Classified Cybersecurity 22024-03-14T06:15:25-04:00https://northropgrumman.jobs/1CB6DEE33A044B66A1AA467D15AA485D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Cybersecurity Leaders, We Want You!**
Cyber assets everywhere are under siege from a wide spectrum of threats. Almost daily, these threats grow in sophistication, breadth and speed.
At Northrop Grumman, we take a holistic approach to cybersecurity, looking at the whole cyber landscape of people, processes and technology and the whole security realm of offense, defense, and exploitation. Thought leadership demands nothing less.
The Classified Cyber security team is seeking a second level (Level 2) **Classified Cybersecurity Manager** to lead a team of Cybersecurity Professionals (ISSOs/ISSMs). A diverse classified information systems security background is required, including the Intelligence Community assessment and authorization process (ICD-503), Special Access Programs (JSIG) and DoD collateral (NISPOM and DAAPM) processes.
The Manager of Classified Cybersecurity will supervise a team of professionals. The team performs assessments of systems and networks within the networking environment, or enclave, and will identify where those systems and/or networks deviate from the acceptable configuration, enclave policy, or local policy. This is achieved through passive evaluations, such as compliance audits, as well as active evaluations like vulnerability assessments. This position will help the employees establish strict program control processes to ensure mitigation of risks and supports obtaining certification and accreditation of systems. Including:
+ Support of process, analysis, coordination, security certification test, security documentation, as well as investigations, software research, hardware introduction and release, emerging technology research inspections and periodic audits.
+ Assist in the implementation of the required government policy (i.e., DAAPM, JSIG, ICDs), make recommendations on process tailoring, participate in and document process activities.
+ Perform analyses to validate established security requirements and to recommend additional security requirements and safeguards.
+ Support the formal Security Test and Evaluation (ST&E) required by each government accrediting authority through pre-test preparations, participation in the tests, analysis of the results and preparation of required reports.
+ Document the results of Assessments and Accreditation activities, technical or coordination activity and prepare the Body of Evidence (BoE) and including updating the Plan of Actions and Milestones (POA&M) as required.
+ Periodically conduct a complete review of each system's audits and monitor corrective actions until all actions are closed.
+ Ensure themanagement/coordinationwith classified programs on the design, testing, and implementing of state-of-the-art secure operating systems, networks, database products, firewalls, and network architectures to meet government classified Cyber Information Assurance compliance requirements.
+ Ensure environments remain compliant and all associated systems/networks achieve and maintain formal accreditation authorizations from government agencies.
+ Establish an inclusive culture within a diverse environment, and will be responsible for the following:
+ Perform as the Manager and supervise a team of Classified Cyber security Analysts and Information System Security Officers (ISSO)
+ Foster an environment for individual development, teamwork, effective performance management, open communication, financial management, and utilization
+ Establishment of a strict program control processes to ensure mitigation of risks and supports obtaining formal Assessment & Authorization of systems
+ Assist in the implementation of the required government policy ( i.e. DAAPM, JSIG, ICD-503). This may include project management.
+ Ensure the team follows Northrop Grumman and the Cyber ISS organizations policies and procedures, making sure that all information is accurate and complete in support of those policies and procedures
+ Support the formal Security Assessment process required by the company or government customer
+ Effectively communicate with program management teams, the Enterprise Services Program Management Office Team, the Information Technology Organization, and Industrial Security
+ Assist in the interview and selection process of new employees
If you are ready to solve complex problems in a dynamic environment, apply today!
Note- Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
**Basic Qualifications:**
+ Master's degree with 7 years of Information Systems Security experience; OR a Bachelor's degree with 9 years Information Systems Security experience is required
+ Must have work experience in the one (or more) of the following areas: Department of Defense Special Access Programs (DoD SAP), and / or Sensitive Compartmented Information (SCI) programs
+ Must have a current **DoD 8570 IAM Level III** security certification (examples: CISM, GSLC, CCISO, CISSP) in order to be considered
+ Candidates must have a current **Top** **Secret /SCI** security clearance (at a minimum) to include a closed investigation date completed within the last 6 years OR must be enrolled in the DOD Continuous Evaluation Program (CEP), in order to be considered
+ Must have the ability to obtain, and maintain, access to Special Programs as condition of continued employment
**Preferred Qualifications:**
+ The ideal candidate will have a Master's Degree in Cyber Security , Information Security, or similar STEM related discipline, to include 8 years of ISSO/ISSM experience with RMF
+ Prior leadership, or management, experience is highly desirable
+ Diverse classified information systems security / information assurance background
+ Excellent written and verbal communication skills
+ Prior experience communicating with customer and program leadership
+ Active Top Secret/SCI security clearance, with current SAP/SAR access, is highly desirable
+ Recent Polygraph would be nice to have
**Salary Range:** $116,200 - $174,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Cyber Security Systems Engineer2024-03-14T06:15:25-04:00https://northropgrumman.jobs/201203E7B5EA4B90927F1E07B2E68B4426At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
As an integral part of our cross-discipline engineering team in Mission Systems that encompasses embedded Systems Engineering, Cybersecurity and Anti-Tamper Engineering.
**Roles and Responsibilities:**
+ Performing key systems engineering elements to meet a given program's requirements
+ Assist with the design and develop system security architectures
+ Assist with the definition of key capabilities and performance requirements
+ Perform detail trade studies, requirements allocation, and interface definition studies to assist with the translation of customer requirements into hardware and software specifications as they pertain to system security
+ Develop an understanding of system interfaces and how to protect them
+ Assist with ensuring the product requirements integrate into the total systems solutions that acknowledge technical, schedule, and cost constraints
+ Develops modifications to existing products to meet unique customer needs, and supports/leads the development of system security functions
+ Support technical work products developed by the larger engineering team, supporting design reviews and integration and test activities as needed.
+ Present solutions to customers and senior management using tailored material based on the audience
**Basic Qualifications:**
+ Bachelor's degree with 2 years of experience, a Master's degree with 0 years of experience in Systems Engineering, Software Engineering, Electrical Engineering, Computer Engineering or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S. Citizenship and an active DoD secret clearance and Special Program Access (SAP) required prior to start
+ System Engineering knowledge of DoD based Platforms, Sensors and/or Sub-systems. Including but not limited to: EO/IR, Cryptography, Satellite, Architecture development, RF, Radar and Undersea Sensors, etc.
+ Familiarity with requirements development and derivation or validation and verification
**Preferred Qualifications:**
+ Advanced degrees in a STEM discipline (Science, Technology, Engineering, Mathematics)
+ Active DoD Top Secret Clearance or higher
+ Experience with hardware design, design verification testing, reverse engineering and/or embedded software development
+ Experience working on a cross-discipline team
+ Experience working with Government agencies
+ Experience in technical leadership role(s)
+ Strong verbal and written communication skills with experience contributing to and/or making technical presentations to internal and external customers
+ Ability to travel occasionally CONUS (at least 10%)
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
This position is contingent upon the transfer of an active DoD Secret Clearance, Special Program Access (SAP) approval prior to starting, and program award.
**Salary Range:** $91,600 - $137,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-San Diego) Senior Principal Program Cost Control Analyst2024-03-14T06:15:25-04:00https://northropgrumman.jobs/725F1D9080DF4C439E3AC9F78AFEF77D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
We're looking for you to join our team as a **Senior Principal Program Cost Control Analyst** based out of **San Diego, CA** . **This position offers the 9/80 schedule.**
**What You'll Get to Do**
The **Senior Principal Program Cost Control Analyst (PCA)** lead restricted program within the within the Networked Information Solutions Division, Communication Solutions Business Unit, Communication Navigation Identification (CNI) Operating Unit (OU), reporting to the CNI OU Manager.
The **PCA** will interface with the program managers, functional management, cost account managers (CAMs) and business managers to provide financial support and analysis to meet program requirements. They will will prepare budgets and schedules for contract work and assist in financial analyses such as funding profiles, sales outlook, cash forecasting, and variance analysis.
The **PCA** will monitor costs and schedules on contracts requiring validated cost schedule control system. This will include performing perform Earned Value Metric (EVM) tasks such as Work Breakdown Structure (WBS) development, budget baseline, cost risk analysis/ assessment and visibility reports, development, and analysis of estimates to complete.
The **PCA** will perform analysis and prepare reports in order to ensure that contracts are within negotiated and agreed-upon parameters and government cost control guidelines, including maintaining accurate records of expenditures, directing preparation of expenditure projections, and submitting timely requests for additional funding to the government.
The **PCA** will support audits and reviews as requested by programs, customers, and company management, support Annual Operating Plan, Resource Plan, and Long Range Strategic Plan activity, and prepare financial inputs to internal reports and presentations (IPRS, Dashboards, BARs, Etc.)
**Basic Qualifications**
+ Bachelor's degree with 10 years of related professional experience - OR - a Master's degree with 8 years of related professional experience
+ Will consider 14 years of applied experience in lieu of degree requirement.
+ Experience with financial systems including cost performance reporting and analysis
+ Experience with Earned Value and performance measurement baseline concepts and ability to apply them to multiple contracts, CLINs and control accounts.
+ Strong PC skills - specifically Excel proficiency (including pivot tables, Vlookup, and conditional formatting)
+ US Citizenship is required
+ The ability to obtain and maintain a DoD Secret clearance is required.
**Preferred Qualifications:**
+ Degree in Finance, Accounting, or Business Administration or related field
+ Experience with COBRA, COGNOS, SAP
+ Current, active DoD Secret clearance
**What We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-OK-Oklahoma City) Level 2/Level 3 Principal Contract Administrator2024-03-14T06:15:25-04:00https://northropgrumman.jobs/8054812038984021845770310DE0105826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems is seeking a **Contracts Professional level 2 (Contracts Administrator) or Contracts Professional level 3 (Principal Contracts Administrator)** to join its team of qualified and diverse individuals supporting its Strike Division.
The successful candidate will support the high-profile B-2 Program and work closely on a day-to-day basis with Managers, Directors, Engineers & Vice Presidents.
This position will be hired at a Level 2 or Principal Level 3 and will follow a 9/80, Hybrid work schedule. This schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. The Contract Administrator is responsible for supporting proposal preparation, contract negotiation, contract administration and customer contact activities to provide and ensure proper contract acquisition and fulfillment in accordance with company policies, legal requirements, and customer requirements. You will be an embedded member of the program management team, supporting program success and new growth opportunities across the portfolio. This position will be located in Oklahoma City, OK.
**Primary Job Duties:**
+ Act as primary interface with customer for contractual issues
+ Monitor contract and associated program to ensure that contractual obligations are communicated and understood
+ Participate in contract proposal preparation, contract negotiations, contract administration, and customer contract activities to manage assigned contracts in accordance with company policies, legal requirements, and customer specifications
+ Work under general supervision to develop solutions to both routine and complex contractual matters to ensure company compliance with contract requirements on assigned programs
+ Ensure fulfillment of contract requirements, manage contract changes and maintain historical information
+ Review terms and conditions to address provisions and risks of financial terms, acceptance criteria, delivery requirements, warranty, intellectual property, termination provisions, indemnification and all other potential risk areas and recommend risk mitigation strategies
+ Prepare and manage written communications with internal and external customers for assigned contractual matters
+ Enter and maintain contract data into Contract Management System or Enterprise Accounting System
+ Ensure timely delivery of all contractual deliverables and submission of invoices
**Basic Qualifications for Level 2:**
+ Bachelor's Degree and 3+ years of professional work experience, or Master's Degree and 1+ years of professional work experience
+ An additional 4 years of experience will be accepted in lieu of degree
+ Ability to travel up to 10% of the time
+ Ability to obtain/Maintain Secret Clearance
**Basic Qualifications for Principal Level 3:**
+ Bachelor's Degree and 6+ years of professional work experience, or Master's Degree and 4+ years of professional work experience
+ An additional 4 years of experience will be accepted in lieu of degree
+ Ability to travel up to 10% of the time
+ Knowledge of FAR/DFAR requirements
+ Knowledge of multiple contract types including Firm Fixed Price, Time & Materials or Cost Plus Fixed Fee
+ Ability to obtain/maintain Secret Clearance
**Preferred Additional Qualifications:**
+ Prior experience within aerospace or defense
+ Experience with incentive fee and award fee contract types
+ Contract Management Certification (Certified Professional Contract Manager, Certified Commercial Contract Manager, Certified Federal Contract Manager, Certified Contract Management Associate)
+ Current, active DoD TS/SCI Security Clearance, or ability to obtain a clearance
**Salary Range:** $59,000 - $88,400
**Salary Range 2:** $72,600 - $108,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Enterprise Services IT Program Manager2024-03-14T06:15:24-04:00https://northropgrumman.jobs/39AE4C1399794F81ABE99E07F6698CAF26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's CIO organization is currently seeking an IT Program Manager to join our team in Roy, Utah. This role is responsible and accountable for providing program management leadership and direction for CIO strategy and operational activities related to Northrop Grumman business conducted by the **Strategic Deterrent Systems Division** in Northern Utah and other locations throughout the United States.
**Responsibilities include:**
+ Support SDS Division functional organizations to elicit requirements, provide required enterprise services, and address delivery of new capabilities to support Division requirements. This position will provide support for Division level special projects and functional organization support to include Mission Assurance, Manufacturing, Business Mgmt, Global Supply Chain, and Human Resources.
+ Effectively interact with members of the CIO, Classified IT, and Business leadership teams to optimize strategies to support goals and objectives, including managing budget and costs
+ Ensure alignment between CIO and Division / program / local business leadership
+ Monitor customer satisfaction with service delivery and engage CIO resources to assist as required to meet and exceed CIO service level objectives
+ Oversee proposal development, staffing plan development, product and service acquisition and delivery, teaming relationships and communication activities, thereby ensuring timely and cost effective delivery and customer satisfaction
+ Escalate service actions and associated delivery priorities as required.
+ Coordinate IT issues and projects as required with the Division/Programs
+ Forecast and order computer equipment
+ Plan and execute the appropriate Natural Disaster IT preparation and response
**Basic Qualifications:**
+ Excellent organizational, verbal, and written communications skills.
+ Candidates must have an Active DOD Secret security clearance in order to be considered.
+ Bachelors Degree and 9 years of related experience
**Preferred Qualifications:**
+ Prior Northrop Grumman Sector-specific experience.
+ Broad background in IT Infrastructure, business applications, and operations
+ EVMS Skills and Experience
+ Active DOD Top Secret clearance
**Salary Range:** $114,900 - $172,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Manufacturing Analyst / Principal Manufacturing Analyst (DoD Secret Required)2024-03-14T06:15:24-04:00https://northropgrumman.jobs/AD33B6C3BC624B97BF707DC70727F8A926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The **Payload and Ground Systems** organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
**Payload and Ground Systems Division - Remote Sensing Programs (PGS RSP)** is a strategic partner specializing in delivering intelligence, surveillance, and reconnaissance solutions for classified and unclassified missions in space. Headquartered in Linthicum, Maryland, PGS RSP has offices, manufacturing, and world-class assembly, integration, and test facilities. We do it all here, on-site, from sensors to payloads to full up spacecraft, supporting Class A to Class D missions. Our key capabilities include Active RF, Passive RF, and Electro-Optical payloads, space solar power, cross domain missions, and space resilience payloads & solutions.
We have an opening for a **Manufacturing Analyst/Principal Manufacturing Analyst** to join our team of qualified, diverse, and talented individuals in our Space Systems organization. This position is in **Baltimore, MD.**
**What You'll get to Do:**
+ Planning, organizing, and controlling the timely flow of product through the Manufacturing Cell to support both internal and external customer delivery requirements.
+ Serving as the primary interface between Production Management, Manufacturing first line supervision, Manufacturing Cell Management, Operations Program Management, Production Material Control Specialists, Kitting and Inventory Management, Final Assembly Cells Production Control personnel as well as interfacing with Engineering and Quality
+ Providing status of hardware of assigned Programs from the time of initial kit conversion, through manufacturing process, to delivery of hardware to internal and or external customers.
+ SAP metric maintenance, i.e., Aging Orders, and Overdue hours, 'Confirmed not Delivered' orders, floor stock inventory control, and the resolving of material shortages as they're late to open production orders.
This position may be filled as a level 2 or level 3 based on the basic qualifications below.
**Basic Qualifications:**
+ **Level 2** : BS and 3 years of experience; master's degree and 1 year of experience; 7+ years of relevant experience years of experience may be considered in Lieu of a degree. **Level 3:** BS and 6 years of relevant experience; master's degree and 4 years of relevant experience; 10+ years of relevant experience may be considered in lieu of a degree.
+ An active/current DoD Secret clearance or higher is required to be considered.
+ Experience working in a cross-functional team.
+ Minimum 1 year of SAP/ERP or other related systems experience.
**Preferred Qualifications:**
+ Degree in Industrial Engineering, Business Administration, Supply Chain Management, Mathematics, or other closely related area are preferred.
+ Proficient in Operations Business Systems (SAP preferred)
+ Experience in MRP and Production Control
+ Self-Motivated Individual with strong organizational, communication, interpersonal, and PC computer skills
**Salary Range:** $68,800 - $103,200
**Salary Range 2:** $84,600 - $127,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Colorado Springs) Staff Software Engineer - 126202024-03-14T06:15:23-04:00https://northropgrumman.jobs/1AA1B88EE9E1416DB5134A7EAE13B88826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking **Staff Software Engineer - 12260 .** This position will be located in **Colorado Springs, Colorado,** **Bellevue, Nebraska** or **Roy, Utah** and will support the Sentinel program.
The selected candidate will design, develop, test, integrate, document, and deliver software in support of the Launch and Guidance Control team. The candidate will have a leadership role in the team as an engineer, coach, and mentor to less experienced team members. This is a hands-on technical position--a deep understanding of software engineering is required.
**Position Benefits:**
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. This role may offer a competitive relocation assistance package.
**Job Qualifications:**
**You'll Bring These Qualifications:**
+ 14 Years with Bachelors in relevant field of study; 12 Years with Masters; 09 Years with PhD or 4 additional years in lieu of a degree.
+ Active DoD Secret clearance with a reinvestigation date occurring in the last 6 years.
+ Ability to obtain Special Program Access (SAP).
+ Direct experience developing and fielding software systems.
+ Full lifecycle software development experience.
+ Experience with working in a fast pace, agile environment with the ability to work on cross-function Scrum team focused on quality and capability delivery.
+ Experience with Java/C/C++ development and/or front-end web development including JavaScript Frameworks (Angular JS or React), HTML, and CSS.
+ Familiarity with DevSecOps, continuous integration, and continuous delivery.
**These Qualifications Would be Nice to Have:**
+ Active Top Secret security clearance with adjudication in the last 6 years.
+ Direct experience implementing applications on real-time operating systems and custom hardware.
+ Prior experience with DO-178C programming and/or flight critical systems programming.
+ Experience in directing software/system architecture decisions.
+ Experience using and/or setting up a DevSecOps pipeline.
+ Experience developing command and control software systems.
+ Familiarity with common source control tools (git, Mercurial, etc.) and automated build system workflows (Jenkins, Go, etc.).
+ Direct application of Agile methodology
+ Familiarity with virtual machine technology.
+ Ability to understand secure / insecure programming concepts (format string vulnerabilities, heap overflows, etc.).
+ Security certification such as CompTIA Security+, CISSP, CSSLP.
**Salary Range:** $142,500 - $213,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Sr Principal Systems Engineer2024-03-14T06:15:23-04:00https://northropgrumman.jobs/4AC0142D06264013A3916CE87939C96C26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems has an opening for a Sr. Principal Systems Engineer to join our Airborne Multifunction Systems (AMS) Systems Engineering, Integration and Test (SEIT) team at our Linthicum, MD campus. These include radars for air dominance platforms (such as the F-22, F-35 Joint Strike Fighter, F-16), bomber and strike programs (such as B-1B), airborne / maritime / ground-based surveillance missions (E-3 AWACS, E-7 MESA, TPS-80 G/ATOR and UAV systems such as Global Hawk), as well as restricted programs and missions. We offer the opportunity to join a team to solve problems in the field and drive new solutions into next generation radar systems and air superiority platforms.
Qualified candidates will apply strong systems engineering and project management knowledge and understanding in the areas of requirements development, the mode design process, and mode integration & test, working with various systems, hardware, and software teams. The candidate will lead a large team of cross-discipline engineers to deliver critical capabilities on a tight deadline. We encourage our engineers to be innovative with solving problems and identifying improvements to meet these challenging goals.
*This position requires up to **100% on-site** work due security constraints and an ability to pass an enhanced security review.
Roles & Responsibilities : This position is primarily engaged in leading a team to develop and field state of the art modes, algorithms, and techniques for advanced multi-function RF and EOIR subsystems. Key features of this role include helping plan and lead engineering design reviews, identifying and resolving blockers for the development plan, and leading a team of IPT leads to complete program milestones and objectives.
Early in the system lifecycle, systems engineers develop parametric representations, visualizations and data driven analysis to support sensor requirements definition and use case validation. Progressing past analysis and design, Northrop Grumman Systems Engineers also support the integration of sensor modes and trackers from digital simulation environments to sensor labs to our on-site fleet of specially modified test aircraft. Throughout this process, our AMS Systems Engineers engage with our customers to balance their functional and performance requirements with other sensor functionality to enable true multi-function operation.
**Basic Qualifications for a Level 4:**
+ Active DoD Secret clearance or the ability to obtain a DoD Secret security clearance prior to starting and sustain the DoD Secret security clearance; Ability to obtain approval for special access programs
+ Bachelor's Degree in Electrical Engineering, Computer Engineering, Physics, Mathematics or other Engineering with 9 years of related experience, 7 years related experience with a Master's Degree, 5 years with a PhD
+ Experience leading a cross-discipline engineering team to complete mode and algorithm development or test
+ Team leadership experience with development and/or production program execution
+ Proficiency with MATLAB or equivalent programming/analysis languages
+ Experience managing RF signals processing or RF application programs
+ Working knowledge of systems engineering principles and processes
+ Ability to operate independently with minimal supervision
+ Ability to communicate effectively with customers, senior leadership, and other engineering disciplines
+ Able to obtain approval for special access programs
**Preferred Qualifications:**
+ Active DoD Top Secret Clearance
+ Master's Degree or PhD in a STEM discipline including electives in radar techniques and signal processing
+ High level experience and proficiency in signal processing or RF applications
+ Experience integrating and testing of modes/algorithms on RADAR, SIGINT, EOIR, or EW systems.
+ Greater programming experience/expertise in MATLAB and C/C++.
+ Has been previously approved for special access programs
This position is contingent upon the ability to obtain/maintain DoD Secret Clearance and Special Program Access (SAP) prior to starting.
**Salary Range:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) NC3 Staff Modeling Simulation and Analysis Lead (AC/E/IFC) (12629)2024-03-14T06:15:23-04:00https://northropgrumman.jobs/83012BD2287B4FC9A30EEA1C9BAE141D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **Staff Modeling, Simulation, and Analysis Lead for Nuclear Command, Control and Communications (NC3)** to operate as the modeling, simulation, and analysis (MS+A) lead for internal research and development, guiding the customer shaping through modeling efforts, and be the MS+A expert on a Cooperative Research and Development Agreement with the government. This engineer will be utilizing the NC3 Architecture Integration Laboratory in **Roy, UT** , and will be part of the Strategic Deterrent Systems Division.
**What You'll Get To Do**
Guide a diverse team of NC3 experts to design and develop model-based systems engineering constructs for system analysis by working closing with the government product owner, internal research, and development teams, and defining measures of success. Develops innovative concepts to fill key capability gaps of the NC3 enterprise. Defines system integration approaches and operational concepts.
**Position Benefits**
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. This role may offer a competitive relocation assistance package.
**Job Qualifications**
**You'll Bring These Qualifications:**
+ 14 Years with Bachelor's in Science; 12 Years with Masters; 9 Years with PhD.
+ **U.S. DoD Top Secret with ability to get SCI cleared.**
+ Innovative and creative mindset to help set a vision for the team.
+ Strong written and verbal communications skills; must be able to coordinate with government and internal team members.
+ Modeling, simulation, and analysis experience for a network of systems including an understanding of tools such as AFSIM.
+ Experience with Model Based Systems Engineering utilizing Cameo Tool.
+ Knowledge of distributed command and control software architectures including the incorporation of cloud and edge node computing.
+ Knowledge of the US Strategic Command mission, component command structure, and NC3 objectives.
+ Experience on an Agile project and the Atlassian suite.
**These Qualifications Would be Nice to Have:**
+ Active U.S. DoD Top Secret/SCI clearance.
+ Working knowledge of SAIC Digital Engineering Validation Tool.
+ Extensive experience with the DoD requirements generation and system acquisition process.
+ Experience with DoD NC3 systems, policies, and requirements.
+ Experience working in USSTRATCOM or AF Global Strike Command.
+ Knowledge on how to develop structural, behavioral, etc. models from the primary sources (USG Policy, DoD Instructions, and stakeholder elicitation).
+ Knowledge on how to create Analysis of Alternative frameworks for alternate architecture concepts and develop simulations tied to Measures of Effectiveness and Measures of Performance in CAMEO using Activity, State, and Parametric methods.
+ Experience with Graph Theory and associated analysis.
+ Experience with Mission Engineering/Mission Engineer Framework.
+ Experience with System & Network Analysis using MATLAB, Python, STK and/or analytical tool sets.
+ Experience with enterprise level systems engineering.
+ Familiarity with Digital Transformation objectives and Digital Twin architecture.
**Salary Range:** $142,500 - $193,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Staff Digital Engineer (FPGA or ASIC Design)2024-03-14T06:15:23-04:00https://northropgrumman.jobs/B848C3A59819426DACD6867C9F9D6D6426At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems Sector (NGMS) is a leading global provider of secure software-defined, hardware enabled mission systems. Our company is pioneering capabilities in a wide variety of sectors that keep our nation and our allies safe from undersea to space and cyberspace. NGMS is seeking a Staff Engineer with the desire to learn new technologies to join our innovative Digital Technologies Organization to help develop, enhance and maintain FPGA and/or ASIC designs on cutting edge products and systems. As a Staff Digital Engineer at Northrop Grumman you will have a challenging and rewarding opportunity to be a part of our Enterprise-wide digital transformation. Through the use of Model-based Engineering, DevSecOps and Agile practices we continue to evolve how we deliver critical national defense products and capabilities for the warfighter. Our success is grounded in our ability to embrace change, move quickly and continuously drive innovation. The selected individual will work on FPGA and ASIC Design across the full product life cycle process. In this capacity, you will utilize your working knowledge of digital signal processing and digital interfaces.
This position is located in Linthicum, MD or Morrisville, NC.
**Basic Qualifications:**
+ Education and Years of Experience
+ BS degree and 14+ years of digital design experience, or
+ MS degree and 12+ years of digital design experience, or
+ PhD and 9+ years of digital design experience, or
+ An additional 4 years of experience can be used in lieu of a BS degree
+ US Citizenship is required
+ Working knowledge of full product life cycle (requirements, design, implementation and test) of FPGA Design and/or ASIC Design
+ Knowledge of System Verilog, Verilog and/or VHDL
+ An active DoD Secret Security Clearance is required
**Preferred Qualifications:**
+ Advanced Degrees in Electrical Engineering or comparable engineering discipline
+ Experience with industry standard FPGA design implementation tools for IP integration, PnR, CDC such as Xilinx Vivado, Intel Quartus, and QuestaSim.
+ Experience with industry standard ASIC front-end design tools for synthesis, LEC, CDC
+ Experience with STA constraints generation and timing closure
+ Experience with MATLAB, Mentor Graphics design tools, Synopsys or similar tool
+ Familiarity with Xilinx and Intel FPGA technology
This position is contingent upon transfer of DoD Secret Clearance and Special Program Access (SAP) prior to starting.
**Salary Range:** $173,200 - $259,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Associate Electronics Engineer/Electronics Engineer - Field Engineering - Baltimore MD2024-03-14T06:15:22-04:00https://northropgrumman.jobs/8B576C136A9B4AC39EFF245356F2BABE26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field or an industry thought-leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
**Northrop Grumman is seeking Electronics Engineers.** This position will be located at our Mission Systems Sector in Baltimore, MD. The qualified candidate will become part of Northrop Grumman's Field Engineering business unit, supporting radar technology programs.
**Basic Qualifications:**
This requisition may be filled at either a level 1 or a level 2. Basic qualifications for an Associate Electronics Engineer (Level 1) are a Bachelor's Degree. Basic qualifications for an Electronics Engineer (Level 2) are a Master's Degree.
A candidate must meet ALL of the below criteria. The candidate must:
+ Be completing or has completed their degree (Bachelor's or Master's) from an accredited institution
+ Be majoring in or having majored in Electrical Engineering, Electronics Engineering, Computer Engineering, or similar STEM field
+ Be able to obtain a U.S. Government security clearance (U.S. citizenship is a pre-requisite)
+ The ability to obtain Special Access Program within a reasonable period of time, as determined by the company to meet its business needs
+ The ability and willingness to travel domestically and internationally for both short-term and long-term assignments
**Preferred Qualifications:**
+ Coursework or experience related to Electromagnetics - RF
+ Radar knowledge/experience - particularly Airborne AESA, but any radar system type is a plus
+ Digital electronics experience
+ Electrical Hardware experience
+ Understanding and prior use of lab equipment: Oscilloscopes, Spectrum or Network Analyzers, RF generators, power meters, etc.
+ Troubleshooting experience and problem-solving skil
+ Beginner experience with Matlab, C++, C#, and/or Python
+ Have an overall cumulative GPA of 3.0/4.0 or higher
**Pay Range:**
Level 1 (Bachelor's): $67,000 - $100,600/year
Level 2 (Master's): $81,000 - $121,600/year
For a broader consideration, please consider completing a profile in our campus candidate community. By clicking on the link below your resume will be visible to recruiters and hiring managers across Northrop Grumman with opportunities nationwide for our internship and entry-level positions.
https://northropgrumman.yello.co/app/collect/form/9iuA6\_W8E7bMDHTOHUZoWw
campusjobs
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Edwards AFB) Test Conductor - FTO Ground Test2024-03-14T06:15:21-04:00https://northropgrumman.jobs/1C60577B90794546BB587D62828940FE26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for **Test Conductor - Flight Test Organization (FTO) Ground Test** to join our team of qualified, diverse individuals within our Test and Evaluation organization. This position will be located in **Edwards AFB, CA.**
In this role, the selected candidate will plan and schedule test events, work with Responsible Engineers (REs) to develop test procedures, perform test article operations - both on and off an active flight line - and maintain a test point data base within the Strike FTO team. They will plan, coordinate, and conduct test operations as an approved test conductor, to include test deck preparation, engineering briefs/debriefs, and will lead our team through test missions as the link between the Maintenance team and the Mission Control Room Flight Test Engineering and Test Operations teams.
**Key Responsibilities:**
+ Provide support for post-test data processing and analysis as required to support test objectives
+ Provide first line engineering support for maintenance, repairs, and modifications to systems under test, supervision, and direction to maintenance personnel for maintenance, repairs, and modifications to systems under test
+ Analyze and interpret test data, provide recommendations to engineering and leadership for alternate means of compliance as required, and support development and execution of test objectives
**The selected Candidate must be willing to work various shifts depending on the business needs: 4x10 schedule (Mon-Thurs) 1st shift; 4x10 (Mon-Thurs) 2nd shift; 3x10 schedule (Fri, Sa, Su) 2nd shift; 3x10 schedule (Fri, Sa, Su) 1st shift.**
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
**Basic Qualifications:**
+ Must have 6 years of qualifiable, related professional/military experience in lieu of a degree to include a background in a system test environment OR an Associate of Science degree AND 4 years of qualifiable, related professional/military experience to include a background in a system test environment OR a Bachelor of Science degree AND 2 years of qualifiable, related professional/military experience to include a background in a system test environment OR a Master of Science degree AND 0 years of qualifiable, related professional/military experience to include a background in a system test environment OR a Ph.D. AND 0 years of qualifiable, related professional/military experience to include a background in a system test environment
+ Must have an active DoD Secret or higher clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation)
+ Must have the ability to obtain and maintain Special Access Program (SAP) clearance prior to the commencement of employment
+ Must be willing and able to work various shifts depending on the business needs: 4x10 schedule (Mon-Thurs) 1st shift; 4x10 (Mon-Thurs) 2nd shift; 3x10 schedule (Fri, Sat, Sun) 2nd shift; 3x10 schedule (Fri, Sat, Sun) 1st shift.
**Preferred Qualifications:**
+ BS, MS, or PhD degree in a STEM (Science, Technology, Engineering, or Math) discipline
+ Experience that includes test planning, execution, and operations
+ Experience in an aircraft maintenance/operations environment
+ Active DoD Top Secret clearance
+ Active Special Program Access (SAP)
**Salary Range:** $79,300 - $118,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Principal Digital Verification Engineer/Senior Principal Digital Verification Engineer2024-03-14T06:15:21-04:00https://northropgrumman.jobs/B22B16E9708A4401A1C65FD96670951126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems, Digital Technologies Group, is seeking Digital Verification Engineers to support ASIC and FPGA product development. In this capacity, you will work closely with design and verification engineers and will utilize your knowledge of modern verification methods, tools and techniques. The individual will perform functional verification of register transfer level (RTL) code of a complex ASIC at block level and SOC level using UVM (Universal Verification Methodology) and SystemVerilog and Cadence Xcelium simulation tool. This task includes but not limited to development of testbench, tests, verification IP (VIP), verification models, coverage models, extensive simulation and debug, code coverage and functional coverage, generation and analysis of reports and metrics, documentation etc. This candidate will have an ability to operate in a team environment and collaborate across the different teams as required to accomplish the goals.
This position is located in Linthicum, MD.
**Principal Engineer Basic Qualifications:**
+ Bachelor's degree with 5 years of experience, a Master's degree with 3 years of experience or a PhD with 0 years of experience in Electrical Engineering, Computer Engineering, Computer Science, or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S Citizenship is required
+ An active DoD Secret Security Clearance is required with the ability to obtain Special Program Access (SAP) prior to start.
+ Knowledge of UVM
+ Experience developing testplans, participating in reviews, test development and RTL debug
+ An active DoD Secret Security Clearance is required
**Senior Principal Engineer Basic Qualifications:**
+ Bachelor's degree with 9 years of experience, a Master's degree with 7 years of experience or a PhD with 4 years of experience in Electrical Engineering, Computer Engineering, Computer Science, or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S Citizenship is required
+ An active DoD Secret Security Clearance is required with the ability to obtain Special Program Access (SAP) prior to start.
+ 2+ years of technical leadership experience
+ Advanced Knowledge of UVM and use of a coverage-driven verification methodology
+ Experience developing testplans, participating in reviews, test development and RTL debug
+ An active DoD Secret Security Clearance is required
**Preferred Qualifications:**
+ Advanced Degree with at least 3+ years of professional experience in related industry
+ An active DoD Secret Security Clearance is required with the ability to obtain Special Program Access (SAP) prior to start.
+ U.S Citizenship is required
+ Experience with data structures, object oriented programming languages and concepts
+ Experience with Verification IP integration and/or development
+ Experience with a coverage-driven verification methodology from planning through closure
+ Knowledge of industry standard bus or I/O interfaces
+ Experience with SystemVerilog Assertions (SVA)
+ FPGA/ASIC design and/or development process experience
+ Experience with scripting languages (Bash, Perl, Python, Tcl, Makefile)
+ Knowledge of digital signal processing
+ An active DoD Secret Security Clearance is required
This position is contingent upon transfer of DoD Secret Clearance and Special Program Access (SAP) prior to starting.
**Salary Range:** $112,600 - $169,000
**Salary Range 2:** $139,700 - $209,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Melbourne) Supply Chain Subcontract Specialist2024-03-14T06:15:21-04:00https://northropgrumman.jobs/DE1A12F99C5240F5AAE5BFB63A96781126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a Subcontract Specialist to join our team of qualified, diverse individuals.
+ **Location** : Melbourne, FL
+ **Schedule:** 9/80 work schedule - with every other Friday OFF!
+ **Team:** Global Supply Chain
+ **Extras:** Opportunities for occasional travel and excellent career growth potential
**Our Team:**
This is an opportunity to join a team on the cutting edge of tomorrow's defense technologies. Work onsite in a collaborative and dynamic environment where new challenges are the norm!
On-site in Melbourne you will have the opportunity to support our nation's classified, top-priority programs, developing and building our next-generation airborne defense solutions for our country.
**About the Role** :
Sources and procures complex, specialized goods and services. Owns contractual management for the supplier. Responsible for subcontract sourcing, proposal evaluation, negotiation, award, execution, administration, and closeout phases. Coordinates and collaborates with key stakeholders such as legal, contracts, finance, planning, operations, program management, engineering, and quality. As part of a cross-functional team, candidate will monitor and manage schedule, cost, technical and quality performance of suppliers in support of US Government programs. Subcontract Specialists will interface with supplier leadership teams to motivate and drive excellence in performance of contractual requirements.
**Essential Functions:**
+ Responsible for subcontract sourcing, proposal evaluation, negotiation, award, execution, administration, and closeout phases
+ Assesses supplier performance and regularly communicates feedback to Supplier Leadership
+ Manages supplier performance throughout the subcontracting lifecycle
+ Ensures compliance with all FAR, DFARS and public law requirements, as well as company policies and procedures
+ Identifies strategies and opportunities to reduce cost, improve efficiency and manage risk through strategic and targeted negotiations
+ Cultivates and maintains strong working relationships with suppliers, customers, and internal stakeholders
+ Contributes to Program Risk and Opportunity management
+ Utilizes process tools such as Lean Six Sigma with suppliers to drive quality, efficiency, and continuous improvement
The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, and collaborative team settings across all levels.
**Basic Qualifications (Subcontract Specialist):**
+ Bachelor's Degree with a minimum of 3 years Business Management/Supply Chain experience OR a Master's Degree with a minimum of 1 year Business Management/Supply Chain experience OR at least 7 years of business experience in lieu of a degree.
+ Must have an Active, In-Scope DOD Secret Security Clearance or higher that has been granted / renewed within the past 6 years
**Preferred Qualifications (Subcontract Specialist):**
+ Master's Degree in Business, Global Supply Chain or Project Management
+ Presentation skills
+ Negotiating skills
+ Working level knowledge of Business Law
+ Risk mitigation experience
+ In-Scope DOD Top Secret Security Clearance or higher that has been granted or renewed within the past 6 years
+ Special Program Access
**Salary Range:** $62,200 - $93,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(ITA-Sigonella) Manager Aircraft Maintenance 2 (Italy) AHT2024-03-14T06:15:20-04:00https://northropgrumman.jobs/6E6A6DB7E1134ED291CB664FBFA615A926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
Northrop Grumman Defense System is seeking an Aircraft Maintenance Manager for a minimum 2-year tour at NAS Sigonella, Italy. Successful candidate will be responsible for the daily operations of the NATO AGS Field Support aircraft team to include the direct supervision and management of the staff. You'll engage frequently with internal personnel and outside customer representatives at various management levels concerning operations or scheduling or specific phases of projects or contracts. You will conduct briefings and participate in technical meetings for internal external representatives concerning specifics operations.
Your duties and responsibilities include but are not limited to :
+ Partner with the Site Manager to lead the RQ-4D Aircraft Field Services Representatives, develop projects, programs, and procedures to support NATO maintenance & flight operations.
+ Assist the site manager in coordinating with customer and support agencies on all constraints and risks affecting critical path milestones.
+ Supervisor or management responsibilities for projects engaged in research and/or product development in more than one engineering discipline.
+ Develop, track, update, and report status of product/requirements for contracted work to program leadership.
+ Establish milestones and monitors adherence to master plans and schedules, identifies problems, and obtains solutions, such as allocation of resources.
+ Collaborate with local customers on routine and max level effort maintenance events and schedule changes to support aircraft maintenance operations.
+ Participate in maintenance meetings with the customer and provide maintenance status briefings at the customer's request.
+ Communicate with CONUS & OCONUS Program Support Teams and IPT leadership.
+ Work with functional and program leadership to ensure proper staffing and performance goals.
+ **Excellent interpersonal and communication skills is a must.**
**This position will be a long-term expatriate opportunity. This position will include Flight Arrangement(s), Household Good Shipment, HOLA, COLA and other incentives for working this assignment overseas** .
**Basic Qualifications** :
+ Bachelor's degree with a minimum of 10 years of experience supervising and assigning program workloads as well as duties for entire shifts of workers performing aircraft maintenance repair, inspection, and modifications. An additional 4 years of experience can be accepted in lieu of a degree.
+ U.S. Citizenship required.
+ A current Secret or higher security clearance.
+ Ability to obtain a US Top Secret & NATO COSMIC clearance.
+ One year minimum as a Maintenance or Production Control Supervisor.
+ Ability to read and interpret technical data and blueprint drawings.
+ Experience identifying consumables, HAZMAT, tooling, and spare parts required for specific operations.
+ Ability to work a flexible work schedule that may include extended shifts, holidays, and weekends and support on call status for 24 hours-a-day, 7 days-a-week, 365 days a year.
**Preferred Qualifications** :
+ Current US Top Secret Clearance
+ RQ-4/MQ-4 Unmanned Aircraft Experience
+ Experience forecasting manpower requirements and creating staffing plans.
+ Experience with working multiple aircraft and programs simultaneously.
+ Lead Production Supervisor or Maintenance Control Supervisor experience.
+ Highly skilled in using MS Excel, Power Point, Word, etc.
NGSkills
**Salary Range:** $114,000 - $171,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-GA-Robins AFB) SCA Ground Support Equipment Mechanic 2 - Multiple Shifts-Secret-Robins AFT2024-03-14T06:15:20-04:00https://northropgrumman.jobs/86D83B4EFE1046A5BE8D2804C6A836FC26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Are you ready to put your experience to work at Northrop Grumman? If so, Our Defense Systems Sector wants you to join our Team as a SCA Ground Support Equipment Mechanic Level 2. This position is located at Robins AFB, GA, and requires you to **work on site daily.**
**No relocation assistance** is available.
You will also be required to work **any shift as/when needed** .
This position requires the ability to **deploy nationally** and **internationally** for periods of **up to 180** days to support CONUS and OCONUS operations as/when required to backfill staffing needs or when USAF customer requirements dictate.
Once employed for the role **- must be willing** and **able** to do **required travel within 72 hours of notification** of the travel.
****This is a Service Contract Act position.**
You will be responsible for accomplishing maintenance of all ground support and associated equipment to sustain aircraft maintenance operations necessary to meet all USAF E-11A mission requirements from its CONUS base location.
Specific duties:
1.Conduct all ground support equipment [GSE] for a fleet of Bombardier USAF E-11A [Bombardier BD-700 commercial derivative aircraft (CDA)] fleet.
2.Conduct progressive maintenance & inspections on ground support equipment providing a maximum amount of GSE to the flight line and minimize aircraft downtime
3.Execute maintenance/upkeep, inventory, trouble shooting of all deployed GSE to include Ground Power Units, special purpose vehicles, aircraft stands, scissor/general lifts, aircraft jacks/tail stands, hydraulic servicing, generators, and other assigned equipment
4.Perform maintenance, disassembly, rework, repair, replacement, re-assembly, or adjustment of various ground support equipment systems in accordance with technical specifications and or engineering instructions
5.Conduct calibrations on aircraft jacks and other assigned GSE as necessary to meet mission requirements
6.Conduct diagnosis of malfunctions, including all electrical & mechanical troubleshooting, fault isolation & repair, parts replacement, line repairable unit (LRU) replacement, calibration tasks, testing, engine repairs and/or replacements & proper documentation
7.Use technical data to diagnose & solve maintenance problems on GSE, coordinating with original equipment manufacturers (OEMs) when necessary to ensure fully operational equipment
8.Maintain status of inspections and maintenance in CORRIDOR database inventory tool
**Basic Qualifications:**
1.High School diploma
**AND**
At least 10 years aircraft Ground Support Equipment experience
2.Must be a US citizen
3.A current DoD Secret Security Clearance
4.Possess or quickly obtain US Passport
5.Be able to obtain/maintain a Corporate Travel Card
**Preferred Qualifications:**
Current U.S. Passport
Working experience in CORRIDOR inventory database operations
Compliance with US Gov't/DoD medical and deployment requirements
NGSkills
**Salary Range:** $30 - $30
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Salt Lake City) Manager Subcontract Programs 12024-03-14T06:15:20-04:00https://northropgrumman.jobs/9960294EB3AF4B93A1DF83558E064EE626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is seeking a dynamic, energetic individual for the position of **Manager Subcontract Programs 1** to join our team of diverse professionals in the **Salt Lake City** , **UT** circuit card center of excellence. This key role is responsible for coordinating work across sites with a focus on Control Account Management and Program relationships. The selected candidate will be an excellent communicator with a strong business background and will be able to communicate and collaborate effectively with all levels of management.
**Roles and Responsibilities:**
+ Responsible and accountable for the strategy, planning, and execution of all material and services content for cross plant activities in our Salt Lake City facility. The primary focus of this role will be on SLC Center of Excellence Circuit Card activity, deliverable throughout Northrop Grumman.
+ Establish and build tools to facilitate standardization in activities between Northrop Grumman sites.
+ Acts as the main focal point for the site for cross plant needs and ensure that all material and service needs are met, and that appropriate mitigation plans are developed and executed to address any programmatic risks.
+ Develops the material strategy and leads the activities for GSC (Global Supply Chain) in all phases of the Business Acquisition Process (BAP).
+ Ensures that prior to program execution, all elements of the proposed program(s) plans have complete and accurate schedules, budgets, make/buy plans, and procurement strategies.
+ Responsible for supply chain Program execution and is accountable for resource planning, material cost, forecasting, schedule performance, risk/opportunity management, supplier performance, and issue resolution.
+ Act as a Control Account Manager for multiple programs requiring Earned Value Management.
+ Briefs PMO and executive management on the status and issues affecting Program cost and schedule performance.
+ This is an On-Site position at the Salt Lake City facility.
**Basic Qualifications:**
+ Must have a Bachelor's Degree with 6 years of experience, or a Master's Degree with 4 years of experience in any of the following: Subcontracts Management Program Management, or Business Management.
+ Must have working knowledge of Earned Value Management.
+ Must have SAP or similar ERP system experience.
+ Must be able to communicate written and verbally in a team environment.
+ Must be able to obtain and maintain a Secret Clearance.
**Preferred Qualifications:**
+ Master's Degree in Business, Global Supply Chain or Project Management
+ Experience conducting oral presentations to senior leadership.
+ Previous Team Lead or Management experience
+ Previous Control Account Manager (CAM) experience or training
+ Risk mitigation experience.
+ Change Management experience.
+ Active secret clearance.
**Salary Range:** $99,400 - $149,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Manhattan Beach) Principal / Sr. Principal Systems Engineer - Mission Planning SME (AHT)2024-03-14T06:15:20-04:00https://northropgrumman.jobs/AEB3CFA7FE7D44B99B63964DEB4F083526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems sector (NGDS) is seeking a Principal Systems Engineer or Sr. Principal Systems Engineer to join our growing team of diverse professionals. The candidate will work in a dual role on a small team within the Mission Planning and Decision Support Operating Unit (OU), functioning as a Mission Planning Subject Matter Expert (SME) and as a Systems Engineer, supporting Agile development of cloud-based solutions. Tasks will range from Operational Scenario and Workflow development, UI/UX development and evaluation, external system interface design, system architecture and design, system development, to integration and test.
**This requisition may be filled at Principal or Sr Principal Systems Engineer level:**
+ Principal Systems Engineer - Bachelor's degree in STEM (and 5 years of relevant experience; or 3 Years with Masters; 0 Years with PhD) or additional years of experience in lieu of degree may be considered
+ Sr Principal Systems Engineer - Bachelor's degree in STEM (and 9 years of relevant experience, or Masters and 7 years, or PhD and 4 years) or additional years of experience in lieu of degree may be considered
**Basic Qualifications:**
+ Active Secret Security Clearance is required (Investigation within the last 5 years)
+ Ability to obtain and maintain SAP clearance
+ Ability to work on-site in Manhattan Beach (CA)
+ 2+ years Operational Mission Planning experience in an aviation context for Combat Air Forces (CAF), including weapons delivery planning for a manned platform
+ 2+ years experience in System Engineering activities such as operational concept development, architecture definition, requirements development and management, verification and validation, authoring whitepapers and performing trade studies
+ Excellent written and verbal communication skills
**For all positions: Following are good to have, but are not required:**
+ 2+ years operational experience planning missions using Joint Mission Planning System (JMPS)
+ Experience coordinating from a unit, between the unit and an Air Operations Center (AOC)
+ Experience testing mission planning software
+ Experience developing automated test procedures
+ Experience as a Software Engineer or Systems Engineer on an Agile software-development effort
+ Experience with UI/UX development
+ Understanding and experience with Model Based Systems Engineering (MBSE)
**Salary Range:** $107,300 - $160,900
**Salary Range 2:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-LA-Lake Charles) P-3 SCA Aircraft Mechanic 3 / Quality Inspector2024-03-14T06:15:20-04:00https://northropgrumman.jobs/E3DCE4C017C148D3BF98D5A0EDC71FD826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Are you ready to your experience to work at Northrop Grumman? If so, we want you to join our team as a SCA Mechanic 3 / Quality Inspector . This full-time position is located in Lake Charles, La. You will become part of Northrop Grumman's P-3 Customs and Border Protection Program.
**This is a Service Contract Act position.
Your duties will include:
+ Performs QC Inspections.
+ Uses predetermined methods, operations, setups, and prescribed specifications to inspect visually in-process and completed products such as electronic units and subsystems, precision electromechanical assemblies or mechanical units, subassemblies, structural flaws, internal defects, and missing welds to accept or reject task as required.
+ Uses various measuring devices.
+ Works from blueprints, diagrams, dial indicators, preset micrometers, scales, fixtures, customer specifications, drawing or inspection instructions and checklists.
+ May monitor and verify quality in accordance with statistical process or other control procedures.
+ Maintains records of results.
**Basic Qualifications:**
+ HS Diploma or equivalent and 6 years of related experience.
+ Excellent oral and written communication, data analysis and problem-solving skills.
+ Demonstrated experience in inspecting products and structures.
+ Demonstrated ability to work with minimal oversight and in a multi-discipline team environment, providing insight and solutions to complicated technical issues.
+ Ability to articulate concepts and concerns to technical and non-technical professionals.
+ Proficient with MS office
+ Strong interpersonal skills
+ US Citizenship required.
+ Experience in a depot environment.
+ Airframe and Powerplant certification
+ Ability to obtain and maintain the Customs and Border Protection Background Investigation. (required to start)
+ Ability to obtain and maintain a DoD Secret clearance within a reasonable time frame.
**Preferred Qualifications:**
+ Experience with P-3 aircraft
+ Experience with Quality Management Systems e.g. AS9100
**Salary Range:** $35 - $39
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Melbourne) Staff Software Configuration Analyst (Melbourne FL)2024-03-14T06:15:20-04:00https://northropgrumman.jobs/FFE648FDF8AA4C08A4A9256962465F2626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a Staff Software Configuration Analyst to join our team of qualified, diverse individuals. This position will be **located in Melbourne, Florida** .
Duties include:
• Lead a team of individuals executing program software configuration management
• Briefing to and coordinating with numerous company and customer stakeholders; demonstrating expert technical knowledge over SOW areas; setting the team's strategic vision and executing its tactical approach; mentoring and training team members; providing day-to-day assignments; measuring and evaluating performance; assisting with staffing and performance management; and managing to cost and schedule.
• Develops and maintains software configuration management tools to support configuration identification, control, reporting, and delivery of both internally developed and externally purchased commercial-off-the-shelf(COTS) software products.
• Performs configuration management and release engineering tasks to ensure new software product operating parameters are documented, comply with standard hardware configurations, and are logistically sustainable.
• Designs, develops, automates, and maintains productivity tools using programming, database or scripting languages to improve software modeling and development.
• Designs and implements build procedures that are used to support software product development and use.
• May develop software configuration standards for company-wide use.
• May train software developers in the use of configuration management tools and the implementation of software quality standards.
• Perform software Functional Configuration Audits (FCA) and Physical Configuration Audits (PCA) and review Supplier Data Requirements Lists (SDRLs)
• Must be able to accommodate occasional odd shifts, extended work weeks (EWW), and weekend support
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
This position is contingent upon verification of active DoD Secret clearance and ability to obtain Special Access Program clearance.
Basic Qualifications:
• Bachelor of Science Degree in Science, Technology, Engineering, or Mathematics (STEM) discipline with 14 years' experience OR Master of Science Degree in a STEM discipline with 12 years' relevant experience OR PhD in STEM discipline with 9 years' relevant experience
• Must have an active DoD Secret clearance or higher (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation)
• Must have the ability to obtain and maintain Special Access Program (SAP) clearance prior to start.
Preferred Qualifications:
• Current Master's degree in a STEM or pursuing a Master's degree
• Current Active, In-scope DoD Top Secret or SCI clearance
• Have current access to the Program
• Proficiency using Microsoft Office applications, including Word, Excel, PowerPoint, SharePoint
• Proficiency using Atlassian product suite, including Jira, Confluence, Bitbucket, Bamboo
• CompTIA Security+ Certification
• Experience supporting Integrated Functional Capability (IFC) deliveries
• Experience in the developmental/operational test maintenance environment:
• Aircraft Design
• Aircraft Avionics & Electrical Systems
• Aircraft Testing
• Ability to work in a multi-disciplinary team environment
**Salary Range:** $129,500 - $194,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Woodland Hills) Manager Security 32024-03-14T06:15:20-04:00https://northropgrumman.jobs/D0488960DF704AFEA6DB2B15777A394526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Mission Systems Sector is seeking a Senior Security Manager / Manager Industrial Security 3** to join our team in Woodland Hills, CA. This role will serve as the Business Unit (BU) Security Manager for the Navigation & Cockpit Systems (NACs) BU, under the Navigation, Targeting & Survivability Division (NTSD), within Northrop Grumman Mission Systems Sector. This role also includes financial management for labor charging and expense budgets, serving as the Cost Account Manager (CAM) for three security cost centers. This role will also serve as the Site Security Manager for the Woodland Hills, CA campus, with responsibility for all security issues, including physical security, DOD, Restricted (SAP/SCI), emergency preparedness, crisis management, and contract uniformed security oversight. This position is an on-site position, operating out of the Woodland Hill campus, and direct reports to the NTSD Division Security Director.
The Senior Security Manager oversees all security activities and provides security guidance to all applicable personnel, to include supporting the BU Vice President, BU Leadership Team, Operating Unit Directors, and program managers, in achieving key goals. The selected candidate must be able to work collaboratively in a team environment with executive and program leadership personnel, security professionals, and other functional personnel, including across BUs, across Divisions, and across Sectors. The candidate will participate in business development activities and plan security support for future business.
This position is responsible for leadership and management of a team of security professionals comprised of three direct-report security managers and seven other security professionals. The NACs Security Manager will also have oversight of the Salt Lake City (SLC) site and Buffalo, NY teams. The successful candidate must provide, positive communication, foster and maintain an open and inclusive work environment, and providing strong, positive, supportive leadership is critical to success in this role.
This position also represents Northrop Grumman with an array of critical U.S. government organizations, including the Defense Counterintelligence and Security Agency (DCSA), and ensure that the Woodland Hills and Salt Lake City site security teams maintain a strong security compliance program. The selected candidate will direct activities that support a top-tier security program in the DoD collateral and Special Programs security environments and will provide guidance to assure compliance with applicable National Industrial Security Program and other security requirements.
**Basic Qualifications** **:**
Active Secret security clearance with ability to obtain/maintain Top Secret clearance and special accesses, including SCI, and ability to pass a CI polygraph.
Ability to travel, as needed, up to 20%.
Bachelor's degree and a minimum of 10 years security or relevant experience, or a Master's degree and 8 years of security experience. An additional 4 years of applicable work experience may be substituted for a bachelor's degree.
Must have prior experience as at least any one of the following appointed positions of authority: Facility Security Officer (FSO), Contractor Program Security Officer (CPSO), and/or Contractor Special Security Officer (CSSO) or a certification of training for at least one or more of these roles.
Must be an experienced manager with strong leadership, interpersonal skills, and written and verbal communication skills.
Must be able to adapt in a fast paced and challenging work environment and effectively manage through change.
Must have in-depth knowledge and demonstrated experience with the National Industrial Security Program Operating Manual (NISPOM), DoD SAP security manuals, and ICDs, as well as other national level security directives.
**Preferred Qualifications** **:**
Top Secret Clearance.
Preferred multi -disciplined experience in military, military or civilian law enforcement, and/or prior significant DoD or FSO, CSSO, CPSO, physical security
Bachelor's Degree.
Active Top Secret/SCI with Polygraph.
COMSEC Experience.
**Salary Range:** $149,400 - $224,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-WV-Rocket Center) Engineer Mission Assurance Level 1 or Level 22024-03-14T06:15:19-04:00https://northropgrumman.jobs/05313ABF02274AC3BA6CF991476E033326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Job Description**
The Mission Assurance/Quality Engineer will provide leadership to our Missile Products team at our Rocket Center, WV location. This position acts as a quality liaison for Operations, Program Management, customer, government agencies and various other functions.
**Specific responsibilities include the following:**
- Generate quality reports such as First Pass Yield, First Article Inspection, Cost of Quality, etc.
- Analyze data and drive process improvements
- Receive and/or develop inspection plans based upon statistical methods
- Review data and statistical analysis to assess process capability to meet required standards and specifications
- Use Lean tools such as risk assessment, 8D, DFMEA and/or PFMEA
- Build good working relationship with suppliers, conducting periodic supplier audits to make sure that they are working according to plan
- Validate and coordinate product readiness for use or delivery, including FAAT qualifications of new suppliers
- Provide and support failure analysis processes for program hardware
- Perform independent risk assessments on Mission Assurance issues and communicate issues and findings
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to deterring and defeating any adversary, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
\#NGSkills
**Basic Qualifications:**
**Level 1:**
• A bachelors degree 0+ years of experience, strong reference of a STEM Degree from an accredited college or university. Will consider 4 years of experience in lieu of a degree.
• Must be a US citizen and have the ability to obtain a DOD Secret Security Clearance
**Level 2:**
• A bachelors degree with 2 years of experience or a masters degree with 0 years of experience, strong reference of a STEM Degree from an accredited college or university. Will consider 6 years of experience in lieu of a degree
• Must be a US citizen and have the ability to obtain a DOD Secret Security Clearance
**Preferred Qualifications:**
• Lean Six Sigma training and certification
• Proficiency in Microsoft Office Products (Excel, Word, PowerPoint, etc.)
• Able to use custom manufacturing software (i.e. Solumina) to maintain quality records, traceability, etc.
• Able to work on multiple tasks in a dynamic environment
This position falls under a Federal Explosives License issued by the Bureau of Alcohol, Tobacco, Firearms and Explosives (ATF) for this location. Candidates hired into this role will be required to complete and submit an Employee Possessor Questionnaire to ATF for approval to be a possessor.
TRAVEL: Yes, 10% of the Time
**Salary Range:** $62,200 - $93,400
**Salary Range 2:** $75,300 - $112,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Sentinel (GBSD) - Staff Structural Analyst Engineer (StrE) 122002024-03-14T06:15:19-04:00https://northropgrumman.jobs/30F128E7F4F042D4942C797AFE2F3C4926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Are you interested in expanding your career through experience and exposure, all while supporting a mission that seeks to ensure the security of our nation and its allies? If so, then Northrop Grumman is the place for you. As a leading global security company, we provide innovative systems, products, and solutions to our customers worldwide. We are comprised of diverse professionals that bring different perspectives and ideas, understanding that the more experiences we bring to our work the more innovative we can be. As we continue to build our workforce, we look for people that exemplify our core values, leadership characteristics, and approach to innovation.
Northrop Grumman Space Systems is seeking a **Staff Structural** **Analyst Engineer** in support of the Sentinel program. Northrop Grumman supports the Air Force's sustainment, development, production and deployment of hardware and system modifications for Intercontinental Ballistic Missile (ICBM) Ground and Airborne Launch Control Systems, Launch Facilities, and associated infrastructure. This position is located: **Roy, Utah.** This role may offer a competitive relocation assistance package.
**What You'll Get To Do**
Sentinel Facility Design Team oversees the design of the facilities that support the future upgrade to the Minuteman III missiles as well as the unique flight test facilities. Our teams are hiring Analysis Engineers within areas of focus: Structural Engineering/Analysis, Thermal Analysis, Bolted Joint analysis, Welded Joint Analysis, PCB analysis.
Looking for experience in any of the following: ABAQUS, ANSYS, FEMAP (w/Nastran).
You will work within an Integrated Product Team (IPT) environment, interfacing with IPT leadership, suppliers, customers, and other technical disciplines to engineer analysis solutions to challenging problems through the development of Analysis Data Packages (ADP).
**Specific duties to include, but are not limited to the following:**
+ Executing linear and nonlinear structural analysis scope using ABAQUS, Ansys, and/or FEMAP (w/Nastran solver).
+ Executing analysis efforts to support deployable mechanical mechanisms. This includes finite element (static, transient, buckling, modal), fatigue, random vibration, weld and bolted joint analyses.
+ Supporting test objective development for model verification/validation and qualification.
+ Generate analysis documentation artifacts and prepare CDRL for customer deliveries.
+ Support the ADP lead in analyzing mechanical equipment, components, products, and systems.
+ Drive the analysis and development of the mechanical portion of the System Integration owned by the ADPs.
+ Deliver required ADPs on time to meet program objectives.
+ Integrate System Integration ADPs with the various element teams to proactively eliminate disconnects.
+ Identify gaps and holes within the analysis and/or requirements and provide a path forward for resolution that is cost effective, innovative, and has minimal impact to others while filling the gap/hole.
+ Ensure the developed technical solution and ADPs meet the analysis and design requirements and product specifications from the various element/configured item specifications.
+ Recommend actions to representatives for coordinated product solution.
+ Support digital transformation initiatives relating to ADP development and release.
**Position Benefits**
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is 9/80 which allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Job Qualifications**
• Bachelor's degree in a Science, Technology, Engineering or Mathematics (STEM) discipline from an accredited university with 14 years of experience, or 12 years of experience with Master's degree, or 9 years of experience with PhD
• Must be a US Citizen and have the ability to obtain and maintain a DoD Secret Security Clearance
• Ability to obtain Special Program Access (SAP)
• Experience running dynamic and static analysis
• Experience in any of the following: ABAQUS, ANSYS, FEMAP (w/Nastran)
• Proficient Post-processing using MATLAB, MathCAD, or EXCEL
• Proficient writer and able to verbally communicate technical content
**Preferred Qualifications:**
• Active DoD Secret Clearance with an investigation date within the last 6 years
• Proficient writer and able to verbally communicate technical content
• Advanced or expert proficiency with ABAQUS, FEMAP, and ANSYS
• Exposure to structural test applications
• Experience with seismic analysis (ASCE 7)
**Salary Range:** $92,600 - $213,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Safety Management System Administrator (Manager 3)2024-03-14T06:15:19-04:00https://northropgrumman.jobs/31A4D648B25841A08F2C3D967C6C8A4226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems Sector has a Safety Management System Administrator (Manager 3) to join our team of qualified, diverse individuals within our Engineering organization. **This leadership role can be in Palmdale, CA (preferred); South Bay, CA; San Diego, CA; or Melbourne, FL.**
**Job Description:**
The Safety Management Systems (SMS) Administrator is responsible for developing & implementing a safety management system program in Northrop Grumman's Aeronautics Systems (NGAS), in support of the Sector SMS Council.
**Responsibilities:**
+ Lead the implementation and management of the organization's SMS program within NGAS.
+ Oversee the SMS Program to include promotion of the SMS safety policy requirements, coordinate and facilitate safety risk information and decisions by the appropriate organizational leadership, track SMS risk mitigation actions and maintain safety risk registry, assist in safety promotion programs, awareness, and education of key organizational personnel, utilize and trend data from safety reporting program.
+ Support the Sector SMS Council in the administration, tracking and planning of SMS Council Meetings.
+ Ensure day to day oversight of the workings of the design, continued improvement & management of the SMS.
+ Provide solid documentation of the SMS program and manage metrics that allows Safety performance to be tracked over time to provide for a healthy organizational SMS program.
+ Manage relationship with representatives from Sector organizations identified within the scope of the SMS.
+ Support programs in complying with SMS requirements IAW DCMA 8210.1
+ Provide periodic safety performance and strategy updates to senior management.
+ Support inter-sector SMS collaboration meetings.
+ Provide safety and SMS training for NGAS personnel.
+ Liaison with the Environmental Health and Safety team on items including safety reporting, risk assessment, and safety investigations.
+ Interface with company leadership, employees, contractors, regulators, and industry partners in support of company safety objectives.
+ Participate in industry and government led safety working groups.
+ Plan and execute the budget and roadmap for the SMS team.
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement, and respond vigorously to change.
**Basic Qualifications:**
+ Must have a Bachelor of Science degree in a STEM discipline AND 9 years of related professional/military experience OR a Master of Science in a STEM discipline AND 7 years of related professional/military experience.
+ Must have a background in safety management including experience developing and/or delivering safety training programs.
+ Must have experience in leading teams and influencing cross-functional collaboration in an organization to implement processes and procedures.
+ Must have an Active DoD Secret or Top-Secret clearance with full investigation within the last 6 years.
+ Must have ability to obtain and maintain Program Access (PAR) within a reasonable period, as determined by the company to meet its business needs.
**Preferred Qualifications:**
+ Specialized education in Aviation Safety, such as a formal DoD aviation safety courses (i.e., USAF Mishap Prevention or Chief of Safety course).
+ Experience in aviation safety management.
+ Knowledge of DCMA 8210.1, 14 CFR Part 5 and ICAO Annex 19 regulations.
+ Familiar with flight operations in a flight test environment.
+ Experience interfacing with DCMA and FAA.
**Salary Range:** $160,200 - $240,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Employment Administrative Specialist (U109)2024-03-14T06:15:17-04:00https://northropgrumman.jobs/EF4307A3CCBC408E8AC88FF2B958249A26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
PRIMARY FUNCTION:
Assist and provide a wide range of administrative functions related to employment under limited supervision. Assist and provide information to employees regarding internal BWI represented job requisitions and refers non-routine matters to the appropriate department personnel for resolution or action. Must exercise initiative, professionalism, diplomacy and sensitivity.
WORKING PROCEDURE AND/OR RESPONSIBILITY ASSIGNED:
+ Provide information regarding recruitment policies and procedures to employees and management, in response to routine questions, requests for assistance and problems related to employment and refer more difficult and non-routine matters to the appropriate personnel for resolution.
+ Perform a wide variety of administrative duties pertaining to internal BWI represented job requisitions, interviewing, hiring and job placement of internal BWI represented non-exempt employees. Coordinate interviews and associated logistics plans to support the internal applicants.
+ Review and perform initial reviews of internal BWI represented employment applications and resumes for job-related skills and qualifications. Coordinate and execute the required internal selection process for BWI represented job requisitions as outlined in the respective BWI collective bargaining agreement. As required, prepare and post applicable job postings. Schedule interviews and coordinate employment tests when necessary. Assist employees in submitting applications to internal BWI represented job opportunities.
+ As required, prepare and post applicable job postings.
+ Execute instructions from and provide assistance to supervisory and professional personnel in the department.
+ Maintain flexibility in work schedule to meet customer/project critical deadlines and requirements.
+ Perform other miscellaneous duties that may fall within the scope of this position.
BWI
**This is a 1st Shift position**
**This is a SEA Union Represented position**
DIRECTION RECEIVED:
Works under limited supervision and direction from higher classified personnel. Must exercise initiative and judgment.
EDUCATION REQUIREMENT:
High school graduate or equivalent. Must have 30 (thirty) credits in business or other related business field required from an accredited university or college.
EXPERIENCE REQUIREMENT:
Four (4) years' experience in administrative-clerical position. Demonstrated proficiency in business applications and PC based software applications such as spreadsheet, work processing, e-mail and database software.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Environmental Health & Safety Professional 3/42024-03-14T06:15:17-04:00https://northropgrumman.jobs/F693B711DAD64E31865DFE38D948A17C26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Job Description - Environmental Health & Safety Professional 3 / 4**
Northrop Grumman Mission Systems Sector is seeking an Environmental Health & Safety Engineering Professional to join our Environmental, Health, Safety, and Fire Protection team at the Troy Hill site in Elkridge, Maryland. The selected applicant will be responsible for successfully implementing and managing all safety, occupational health and environmental programs.
Key roles and responsibilities include:
+ Reviews and interprets existing and proposed environmental, health, and safety (EHS) regulations to determine applicability and impact to site.
+ Develops, implements, and maintains EHS programs to ensure compliance with regulatory and internal requirements, injury prevention, and risk mitigation.
+ Conducts job hazard assessments and recommends appropriate hazard control approaches.
+ Maintains and performs tasks for the following occupational safety programs: electrical, lockout-tagout, material handling (cranes, aerial lifts, and forklifts), contractor safety, confined space, fall protection, cryogenics, incident investigations, and robotics.
+ Maintains and performs tasks for the following environmental compliance programs: hazardous waste and other industrial waste management for a large quantity generator; wastewater management; Hazardous Waste Contingency Plan, Spill Prevention Controls and Counter Measures (SPCC), Stormwater, Clean Air Act (CAA), Superfund Amendments and Reauthorization Act (SARA), Toxic Release Inventory (TRI), Pollution Prevention, Department of Transportation (DOT), Sustainability.
+ Maintains and performs tasks for the following industrial hygiene programs: air monitoring, hearing conservation (noise survey and dosimetry), ventilation surveys, respiratory protection, field sampling, cryogenics, asphyxiant exposure analysis, indoor air quality, ionizing and non-ionizing radiation, hazard communication, and radioactive materials.
+ Reviews new construction and renovation plans for EHS aspects.
+ Conducts internal compliance inspections to identify actions necessary to meet regulatory requirements.
+ Partners with management to continuously improve the culture, awareness and accountability in support of EHS programs.
+ Partners with engineers, scientists, and management to support the integration of EHS into the design of new or altered processes and operations and building construction projects.
+ Interfaces with regulatory representatives, senior management, the site EHS Committee, and the Emergency Response, and Crisis Management teams.
+ Provides timely and accurate submission of necessary reports to internal stakeholders, electronic databases and regulatory agencies.
+ Writes and updates EHS plans and procedures.
+ Coordinates, develops, and conducts EHS training for employees and management.
**The position can be filled at a Level 3 or 4.**
**Basic Qualifications for Level 3:**
+ Bachelor's (BA or BS) degree in safety, industrial hygiene, engineering, environmental science, or a related field, and a minimum of 5 years of experience in occupational safety; or a Master of Science (MS) in the same disciplines with 3 years of relevant experience; or an Associate's degree and 7 years of relevant experience; or a High school diploma and 9 years of relevant experience may be considered in lieu of degree.
+ Experience in conducting incident investigations, personal protective equipment assessments, and job hazard analysis.
+ Experience in conducting training for crane, aerial lift, fall protection and forklift operations.
+ Experience in occupational safety programs, such as electrical, lockout-tagout, material handling, contractor safety, confined space, fall protection, and cryogenics based on the review and interpretation of EHS regulations.
+ Experience in performing tasks related to requirements in the Clean Water Act (CWA), Resource Conservation and Recovery Act (RCRA), Spill Prevention Controls and Counter Measures (SPCC), Stormwater, Clean Air Act (CAA), Superfund Amendments and Reauthorization Act (SARA), Toxic Release Inventory (TRI), Pollution Prevention, Department of Transportation (DOT), and Sustainability.
+ Experience conducting internal compliance inspections in EHS programs.
+ Experience in conducting in person training and developing online training for various EHS compliance related topics to various types of audience.
+ Ability to prioritize tasks and time manage appropriately to meet deadlines.
+ Ability to multitask and work efficiently on unplanned tasks as they are assigned.
+ Ability to perform job duties with general direction and supervision and to provide technical solutions to complex problems based on sound EHS principles.
+ Excellent communication and collaboration skills with all levels of an organization are a must.
+ Ability to obtain and maintain a Department of Defense (DoD) Secret clearance (U.S. Citizenship required).
**Basic Qualifications for Level 4:**
+ Bachelor's (BA or BS) degree in safety, industrial hygiene, engineering, environmental science, or a related field, and a minimum of 9 years of experience in occupational safety; or a Master of Science (MS) in the same disciplines with 7 years of experience; or an Associate's degree and 11 years of relevant experience; or a High school diploma and 13 years of relevant experience may be considered in lieu of degree.
+ Experience in conducting incident investigations, personal protective equipment assessments, and job hazard analysis.
+ Experience in conducting training for crane, aerial lift, fall protection and forklift operations.
+ Experience in occupational safety programs, such as electrical, lockout-tagout, material handling, contractor safety, confined space, fall protection, and cryogenics based on the review and interpretation of EHS regulations.
+ Experience in performing tasks related to requirements in the Clean Water Act (CWA), Resource Conservation and Recovery Act (RCRA), Spill Prevention Controls and Counter Measures (SPCC), Stormwater, Clean Air Act (CAA), Superfund Amendments and Reauthorization Act (SARA), Toxic Release Inventory (TRI), Pollution Prevention, Department of Transportation (DOT), and Sustainability.
+ Experience conducting internal compliance inspections in EHS programs.
+ Experience in conducting in person training and developing online training for various EHS compliance related topics to various types of audience.
+ Ability to prioritize tasks and time manage appropriately to meet deadlines.
+ Ability to multitask and work efficiently on unplanned tasks as they are assigned.
+ Ability to perform job duties with general direction and supervision and to provide technical solutions to complex problems based on sound EHS principles.
+ Excellent communication and collaboration skills with all levels of an organization are a must.
+ Ability to obtain and maintain a Department of Defense (DoD) Secret clearance (U.S. Citizenship required).
**Preferred Qualifications:**
+ Master of Science (MS) degree in safety, industrial hygiene, engineering, environmental science, or a related field, or currently enrolled in an M.S. degree program.
+ RCRA and DOT training.
+ Experience in developing and implementing compliance programs related to requirements in the Clean Air Act (CAA) and Clean Water Act (CWA) and Resource Conservation and Recovery Act (RCRA).
+ Experience with supporting EHS programs for manufacturing and construction operations.
+ Excellent written and oral communication skills.
+ Experience with World Class Manufacturing initiatives.
+ Experience in conducting hazard identification reviews for robotic safety.
+ Ability to recognize opportunities for process improvements and to develop and implement more efficient and enhanced programs.
+ Computer based skills specifically with Microsoft Word, Excel, and Power Point programs.
+ Experience with Microsoft SharePoint and Tableau.
**Salary Range:** $93,000 - $139,600
**Salary Range 2:** $115,400 - $173,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-McClellan) Stock Clerk 22024-03-14T06:15:16-04:00https://northropgrumman.jobs/52A22664E832430EABEDAAC7F0F4BB8126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
Northrop Grumman Mission Systems (NGMS) is seeking a Stock Clerk 2 for our McClellan, CA location.
**What You'll Get to Do:**
+ Performs a combination of manual and clerical duties to receive, store, and issue equipment, materials, and supplies in a central warehouse.
+ Examines stock to verify conformance and quantity; stores articles according to identifying factors; fills orders or issues supplies from stock; requisitions stock to fill incoming orders; and prepares various stock reports.
+ Participates in cycle counts, close outs, and various inventory activities maintaining accurate inventory levels.
+ Reports discrepancies between physical counts and SAP data.
+ Maintains a clean workspace free of FOD.
+ Develops or improves inventory process procedures.
+ Demonstrates strong communication skills and ability to work successfully with multiple stakeholders such as planning, manufacturing, shipping, receiving, and quality assurance.
+ Must stay in sync with company policies and procedures and be willing to work in a defined Team environment.
**Basic Qualifications**
+ High School diploma or equivalent and 2 years additional education and/or related experience (education verified).
+ Combination of experience working in stockroom, inventory management, receiving, and shipping capacity.
+ Ability to continuously stand and walk for extended periods and perform physical work on a regular basis.
+ Ability to lift 35 lbs.
+ Proficient with Word, Excel, Outlook, SAP, and Solumina.
**Preferred Qualifications**
+ College degree preferred.
+ 2+ years of experience working in stockroom, inventory management, receiving, and shipping capacity.2
**Salary Range:** $41,000 - $68,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-McLean) Facilities Planner2024-03-14T06:15:16-04:00https://northropgrumman.jobs/9599BCDC9B234AA68DAC1B128E2B9C0A26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems sector is seeking a qualified Facilities Planner-2 individual to join our team of qualified, highly talented and diverse individuals for 2nd shift (10:00 am to 6:00 pm).
This position will be located in the McLean, VA area and is responsible for supporting a full range of Facilities Support associated with a large 400,000 square foot building with an emphasis on protecting and supporting sensitive operations.
The ideal candidate plans utilization of building space and facilities for company consistent with requirements of organizational efficiency, and available facility resources and funding. Individual will be responsible for coordinating equipment and machinery maintenance, facilities modification and building project upgrades. Candidate will need to understand project facility requirements. Candidate will act as contact for facilities, and equipment and machinery maintenance, project activities and assist with facility tasks for schedule and mechanical compliance with the Program Manager. Candidate will work with the Principle Facilities Planner and Program Manager to identify building issues and develop solutions to ensure no break to customer support. May coordinate the work of employee(s) assigned to the project. Assist the Principle Facilities Planner establish milestones and monitors adherence to master facility maintenance vendor schedules. The Facilities Planner shall be responsible for supporting both internal and external customers in a dynamic and fast paced environment.
**Responsibilities include :**
+ Complete facilities requirements in support of proper building maintenance and efficiency on behalf of the client.
+ Plans utilization of building space and facilities support for company consistent with requirements of organizational efficiency.
+ Responsible for scheduling and coordinating equipment and machinery maintenance, facilities modification and building project upgrades.
+ Ensure project requirements are clearly defined.
+ Acts as contact for facilities, and equipment and machinery maintenance and project activities.
+ Works with the Principle Facilities Planner and Program Manager to identify building facility issues and develop solutions, such as allocation of required resources or staffing changes with the customer.
+ Will coordinate the work of employee(s) assigned to the project.
+ Will use Excel software for functional area, to assist Principle Facilities Planner and PM compile and track facility tasks.
+ Will provide cleaning and other escort services when required.
+ Reports directly to the Principle Facilities Planner and performs job duties with minimal supervision.
**Basic Qualifications:**
+ Bachelor's degree with 3 years of professional, facility, or construction related experience OR 1 year of professional, facility, or construction related experience with a Master's degree OR High school Diploma with 7 years of related experience will be accepted in lieu of degree.
+ Must have active/current TS/SCI Clearance with Full Scope Polygraph.
**Preferred Qualifications:**
+ Must have experience and working knowledge of facility topics such as: HVAC, electrical and mechanical knowledge, and overall maintenance experience associated with a large, several story building.
+ Must have experience and success interacting successfully with customers and building facility vendors as candidate will very often serve as facility liaison with vendors.
+ Candidate will be required to perform hands-on building corrective maintenance as well as address emergency building/facility issues when they arise and must know what facilities tasks can be completed by Program Staff or by Vendor personnel.
+ Ability to maintain flexibility to deal with changing priorities, deadlines, and emergent requirements and adjust work time schedule.
+ Candidate will be required to learn and utilize purchasing procedures.
+ Working knowledge of Microsoft Office (Word and Excel).
**Salary Range:** $72,100 - $108,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-McLean) Sr Principal Ethics Compliance Advisor2024-03-14T06:15:16-04:00https://northropgrumman.jobs/ADB4431B87BA470F8E240D0C7B64610526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Ethics Compliance Advisor (Sr Principal Level)
Job Description: Northrop Grumman Corporate Human Resources is seeking a Sr. Ethics Compliance Advisor in the Company's Space Sector business unit. This position will be based at a company facility located in McLean, VA; Dulles, VA or Roy, UT however Linthicum, MD; Redondo Beach, CA or Chandler, AZ will also be considered.
The selected Advisor will provide day-to-day support of the Space Sector ethics and compliance program and will serve as a champion and advocate for the Company's values and our speak-up culture. The role will report to the Space Sector Ethics Director and will primarily interact with and receive input from Ethics, Compliance and Law Department leadership at all levels.
The successful candidate will undertake the following duties and responsibilities:
**Knowledge and Awareness**
+ Obtain and maintain current knowledge of relevant company policies, procedures and controls along with an awareness of the laws, regulations, government guidance and industry and peer company best practices applicable to the ethics and compliance program.
**Business Conduct Advisors Network**
+ Promote, sustain and manage the Business Conduct Advisor (BCA) network to include facilitating monthly meetings; developing regular communications and site presentations based on BCA needs; identifying and training site BCAs and providing BCAs ongoing guidance on the ethics and compliance program.
+ Help identify and coordinate with management the appointment of new BCAs
**Management and Employee Inquiries and Concerns**
+ Intake employee issues and concerns and properly document them in the case tracking system.
+ Answer Sector employee and management inquires on policies, procedures and the Standards of Business Conduct.
+ Review and adjudicate Conflict of Interest disclosures.
+ Assist in investigations, as determined by investigations management.
**Communications and Engagement**
+ Encourage and promote a values based speak-up culture within the Sector.
+ Help prepare and disseminate regular ethics and compliance communications for sector employees.
+ Coordinate sector Ethics Awareness Month activities.
+ Appropriate travel to Space Sector locations to conduct management and employee focus groups to assess site culture and engagement.
+ Develop trusted partnerships with leaders, subject matter experts and peer organizations.
+ Effective and close coordination with the Space Sector Counsel and Operations Counsel.
**Training, Education and Metrics**
+ Provide input on and communicate results of ethics and compliance metrics to the Space Sector Ethics Director, Sector management and site BCAs with a focus on analysis and trends.
+ Facilitate the completion of annual ethics site assessments.
+ Assist with ensuring completion of annual ethics training by all employees.
+ Support analysis of employee survey data related to ethics questions and Sector location data.
+ Act as the facilitator for the Manager Legal and Ethical Obligations course and present other trainings as required.
+ Participate on teams to assist in the development of new content and initiatives to further promote ethics and compliance within the workplace.
**Other**
+ Actively participate in team meetings and offsites as appropriate.
Basic Qualifications:
+ Bachelors Degree and 10 years of experience; or Masters Degree and 8 years of experience. 4 additional years of experience can be substituted for the bachelor degree requirement.
+ Experience in a government contracting environment.
+ Knowledge of ethics, investigation & compliance best practices.
+ Proven ability to organize, prioritize and plan concurrent tasks.
+ Demonstrated ability to work independently with multiple demands.
+ Strong analytical, interpersonal, and verbal communication skills, including clear, concise and persuasive writing and presentation skills with the ability to influence and persuade all levels of employees in the organization.
+ Exceptional collaboration, and relationship-building skills with an ability to work with multiple stakeholders with a wide range of requirements in an efficient and effective manner.
+ Proficient in MS Office, M365 & Excel
Preferred Qualifications:
+ CCEP Certification
+ Experience supporting an ethics and compliance organization in the government contracting/aerospace industry.
+ Experience supporting a corporate compliance or ethics program, including presenting education and training materials, handling management and employee questions, maintaining subject matter expertise and helping facilitate reporting of program performance metrics.
+ Knowledge of Federal Acquisition Regulations and Federal Sentencing Guidelines for compliance programs.
**Salary Range:** $95,000 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Northridge) Program Manager 2- International2024-03-14T06:15:15-04:00https://northropgrumman.jobs/9A9A699E1CC04C9681E46368BBF96E3026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Defense Systems sector location located in **Northridge CA** is currently seeking a **Program Manager Level 2** to support our growing business.
The primary objective will be the delivery of all contractual requirements on cost and on schedule, while achieving all technical requirements and creating customer intimacy to support value creation strategies and actions. The individual will lead a cross-functional organization aligned to common program performance goals.
Primary responsibility will be to manage AARGM international efforts including AARGM International Cooperative Production, F-35 AC integration, F-16 AC integration, acting as primary interface with customers and managing foreign driven AARGM development programs.
Program Managers are responsible for leading all phases of the program life cycle from inception (proposal development) through startup, execution, and completion (contract closeout). Primary responsibilities are the management of cost, schedule, and technical performance of company programs or subsystems and include, but are not limited to:
+ Cultivating US and International customer relationships and intimacy to develop further opportunities within the customer community
+ Developing new international business opportunities through long term strategic planning, capture planning, and development of proposals and business plans
+ Coordination with International Trade Compliance (ITC) to ensure export license and agreements are in place to support our activities.
+ Develop proposals for FMS, DCS and Cooperative efforts.
+ Work with foreign customers, BD and Engineering to create meaningful offset participation when required
+ Establishing a program organization that effectively addresses customer requirements and incorporates the necessary internal and external sub-organizations
+ Leading and directing cross-functional Integrated Program Teams (IPT) to meet program cost, schedule and technical performance objectives
+ Measuring and reporting program performance
+ Delivering presentations to customers, executive management and other program stakeholders
+ Participating in the negotiation of contracts, contract changes, specifications, operating budgets, schedule milestones, and key terms and conditions
+ Establishing design concepts, criteria, and engineering efforts for product research, development, integration and test
+ Creation, review and finalization of the program Statement of Work
+ Identification, distribution, tracking, and completion of program requirements
+ Establishment and management of the program and subordinate baselines
+ Development and adherence to budget baselines utilizing Earned Value Management (EVM) or similar cost & schedule control methodologies and tools
+ Identifying, allocating and managing program resources, including workforce planning
+ Managing Government/customer supplied property or information (GFE, CFE, etc.)
+ Managing suppliers to meet program objectives
+ Adherence to all internal processes, policies, and applicable industry standards
+ Ensuring program team understands and adheres to contract scope, and manages change through control board activities
+ Development and adherence to master plans and schedules
+ Conducting thorough risk & opportunity management practices including identification, mitigation and realization
**Desired Attributes:**
+ Excellent interpersonal skills with ability to manage a project team daily and communicate effectively with segment leadership regarding status, awareness, and escalations
+ Ability to manage projects to specified milestones and established schedules
+ Ability to resolve disputes and negotiate with positive outcome while maintaining good relationships within the organization, specifically between peers and management
+ Experience with international business
+ Experience with import/export laws and regulations
+ Ability to manage communications with stakeholders through organized processes to ensure that program information is defined, collected, shared, understood, stored and retrieved in a manner that effectively meets program and stakeholder needs
+ Ability to identify and address program impacts through a systematic, proactive approach to issue and problem resolution that identifies, communicates, monitors, and promptly resolves conflicts across all levels of the program
+ Ability to define proposal strategy, lead proposal teams, analyze and shape RFPs, produce and deliver winning proposals, effectively track and incorporate Review Team comments and findings, and manage post-proposal submittals (orals, finding responses)
+ Ability to address program uncertainty through an organized and analytical forward looking approach that identifies risks and opportunities, determines appropriate handling plans, and manages, controls, and communicates risks and opportunities throughout the lifecycle of the program
+ Missile program experience
**Basic Qualifications:**
+ Bachelor's degree and 10 years (or Bachelor of Science in STEM and 9 years), OR a Master's degree and 8 years (or Master of Science in STEM and 7 years) experience supporting U.S. Government contracts and customers and/or project management in other industries
+ 6 years of experience leading the performance of tasks on schedule, at cost and achieving all requirements as either project lead, integrated program team or cost account manager
+ 10 years of demonstrated success leading teams/and or organizations to achieve a common goal
+ Competency in Project Management skills and toolsets
+ Knowledge of pricing and proposals
+ Competent in standard Microsoft Office Suite (i.e. PowerPoint, Excel, Word, Outlook)
+ Must be able to obtain a DoD security clearance (US Citizenship is a requirement)
+ Willing to travel internationally (Up to 30%)
**Preferred Qualifications:**
+ A degree in a science, engineering, or a business program
+ Experience in the aerospace/defense industry or within the Department of Defense or NASA
+ PMP® certification
+ Experience with Lean, Six Sigma, Agile methodologies
+ Ability to define technical strategy to promote capturing business in new areas
+ Active Security Clearance
Relocation assistance, while not guaranteed, may be available.
The selected candidate will be required to work full-time, on-site in Northridge, CA. No remote/telework arrangement is available for this position.
Living in Northridge offers a perfect combination of urban living with the beauty of nature. It is a short drive away from downtown Los Angeles, the Hollywood Hills, or the rest of the San Fernando Valley. Take advantage of your flexible work schedule to enjoy shopping at the local Northridge Fashion Center or spend time at the local Recreation Center as well as easy access to nearby protected natural areas such as the Chatsworth Reservoir, the Santa Susana Pass State Historic Park, Lake Balboa or within driving distance, spend a day at the beach. With Cal State Northridge right in the heart of town, the area has an active college-town energy combined with family-friendly suburban charm. The stunning southern California climate makes for year-round easy living. With an average of 284 sunny days during the year , it is safe to say you'll have plenty of time to enjoy your outdoor activities to the fullest.
For more information, please click on this link below:
Northrop Grumman in Northridge-California - Northrop Grumman
**Salary Range:** $151,900 - $227,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-IL-Rolling Meadows) Product Lifecycle Management Software Quality Test Engineer2024-03-13T06:15:51-04:00https://northropgrumman.jobs/1724ABFFACC54A129AC0C91373D1665426At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems (NGMS), a leader in software defined, hardware enabled systems for national defense applications, is developing a next-generation Product Lifecycle Management (PLM) system for Engineering and Manufacturing product and process data. This system will be the foundation of our digital transformation and the future of advanced Engineering and Manufacturing capabilities and automation within the company, including Model-Based Engineering and Additive Manufacturing.
As a member of the test team you will be developing automated tests based on standard tools to improve defect avoidance, test throughput, and customer confidence. The successful candidate will be responsible for implementing test automation for all levels of testing, including unit, system integration, functional, and regression on a variety of platforms. The Product Lifecycle Management (PLM) Software Test Automation Engineer will support the deployment of Teamcenter throughout Northrop Grumman Missions Systems as the PLM Solution.
**This position could be located at any of our NGMS locations such as Baltimore, MD, San Diego, CA, Rolling Meadows, IL, or Woodland Hills, CA based on the successful candidate's ability and qualifications. Additionally, depending on qualifications, this position could be supported through full-time telecommuting.**
**Responsibilities**
+ Provide Test design, Test execution support for Teamcenter (13.x / 14.x) RAC and Active workspace (6.x) client as per customized Business process
+ Design and execute test cases and test plans to ensure the quality and reliability of PLM software.
+ Identify and report defects, and work with development teams to ensure timely resolution.
+ Collaborate with cross-functional teams to ensure software meets customer requirements.
+ Participate in the development of testing strategies, methodologies, and standards.
+ Provide technical support to stakeholders as required.
+ Stay up to date with emerging trends and technologies in PLM Teamcenter testing.
+ Participate in development / execution of automated regression test scripts and configurations in a Teamcenter environment.
+ Contribute to training manuals and maintenance guidelines for the Regression test scripts.
+ Perform root cause analysis, debug problems in the Teamcenter environment, and work with the appropriate technical teams to develop, test, and implement remedies to prevent escapes.
+ Evaluate, define, configure, and institute Test management tools, processes, and infrastructure to support Mission PLM development work.
+ Execute testing across the entire PLM environment, including COTS software, configured COTS software, and full custom software including multiple integrations and middleware.
+ Advocate for enhancing regression test coverage and automation of test scripts development.
+ Provide testing status, generate reports, track metrics, and create test closure reports.
+ Implement appropriate test techniques for test design and implementation.
+ Adhere to the defect management process.
+ Archive and organize test results and artifacts using configuration management tools.
+ Keep current with advances in test practices and methodologies.
**Basic Qualifications**
+ Bachelors degree in a relevant discipline, with a minimum of 9 years of industry experience is required.
+ Demonstrated knowledge of software testing methodologies, tools and processes.
+ Experience with complex Product Lifecycle Management Systems, especially Teamcenter applications related to Engineering and Manufacturing.
+ Ability to Design test cases, execute and report defects on multiple environments.
+ Experience of test management tools such as TFS or JIRA.
+ Working knowledge of multiple Software Development Life Cycles (SDLC) and industry standard development methodologies.
+ Experience with defect tracking tools and test case management systems.
+ Knowledge of performing test activity in an Agile environment.
**Preferred Qualifications**
+ Experience with Agile development methodology, processes, and tools.
+ Experience with CAD Tools like NX, Creo, Solidworks etc. along with its integration with PLM software like Teamcenter
+ Experience with Integration testing / validation for software like SAP, eQube BI / MI etc.
+ General understanding of the Software Lifecycle Process, end user support, and process documentation.
+ Familiarity with Azure Dev Ops and Git or similar tools.
+ Proficiency developing and deploying automated test suites.
+ Knowledge of continuous integration/continuous deployment methodologies.
+ Excellent written and verbal English communication skills.
+ Familiarity with TMMI Maturity framework.
**Salary Range:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-El Segundo) Senior Principal Engineer Manufacturing2024-03-13T06:15:51-04:00https://northropgrumman.jobs/CE774A8607164480863EC8802C54C07C26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Designs manufacturing processes, procedures and production layouts for assemblies, equipment installation, processing, machining and material handling. Designs arrangement of machines within plant facilities to ensure most efficient and productive layout. Designs sequence of operations and specifies procedures for the fabrication of tools and equipment and other functions that affect product performance. Adapts machine or equipment design to factory and production conditions. May incorporate inspection and test requirements into the production plan. Inspects performance of machinery, equipment, and tools to verify their efficiency, and investigates and initiates corrective action of problems and deficiencies to ensure product quality. Develops manufacturing processes that are applicable to statistical process control, and may develop those techniques. Provides guidance to engineering regarding design concepts and specification requirements to best utilize equipment and manufacturing techniques. Ensures processes and procedures are in compliance with regulations.
Key Responsibilities:
+ Solve day-to-day issues on the manufacturing shop floor for manufacturing issues & engineering issues
+ Identify & implement opportunities to reduce time or cost, and improve quality
+ Simplify techniques & skill requirements to make processes repeatable
+ Analyze quality metrics for non-conformances, implement corrective actions, and create repair plans
+ Define concepts of how to use manufacturing tools required to manufacture composite parts, and evaluate & implement tool improvements
+ Author & maintain composite fabrication & sub-assembly process work instructions, part work instructions and visual aids to perform all manufacturing jobs. Identify unsafe processes and establish initiatives to improve safety
+ Collaborate with various functions within Northrop Grumman, and work with internal/external customers & suppliers
Basic Qualifications for Sr Principal Engineer Manufacturing:
+ Bachelor's Degree in a Science, Technology, Engineering or Mathematics (STEM) and 9 years of related experience, OR a STEM master's degree with 7 years of related experience
+ Ability to work occasional weekends, holidays, and second shift
+ Ability and willingness to thrive in a fast-paced, rapidly changing work environment
+ Must be driven, highly motivated, and committed to improvement
+ Must have effective time management skills, attention to detail and ability to work alone
+ Experience with composite fabrication, bonded structures, and assemblies
+ Experience with CATIA, SAP, Manufacturing Execution System, and GD&T
+ Experience with Lean Manufacturing, RCCA
+ This position requires the ability to obtain and maintain a DOD Secret Clearance within a reasonable period, as determined by the company to meet its business needs
Preferred Qualifications:
+ Current DOD Secret Clearance or higher
**Salary Range:** $120,900 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Colorado Springs) Staff MSS Control Account Manager2024-03-13T06:15:50-04:00https://northropgrumman.jobs/B9D26FB27D5E424880F245564F22000A26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Northrop Grumman Specialized Warfighter Development Contract (SWDC) Modeling & Simulation Software (MSS) is seeking a Control Account Manager (CAM) 5 to join our current team of qualified and diverse leaders at Schriever Space Force Base in Colorado Springs, Colorado. SWDC supports a wide range of Missile Defense Agency (MDA) directorates with multiple products & capabilities under the MSS Task Order where you will be responsible for critical Earned Value Management (EVM) activities that include the following:
+ Ensure compliance to Earned Value policies and procedures to assigned area of control accounts
+ Provide EVM status, updates and other analysis of material and labor actual costs / estimates as needed, schedule projections, cost and schedule variance analysis and risk assessments
+ Determines, monitors, and reviews costs, operational budgets and schedules, and manpower requirements
+ Participate in proposal preparation to include negotiations with customer
+ Develop monthly forecasts of material and labor and conduct variance analysis to submitted forecasts
+ Ensures value is being delivered to the customer and aligns technical, business, and execution strategies
+ Coordinate with Engineering, Purchasing, and other departments to achieve material availability requirements to meet program schedules and needs
+ Coordinate with Engineering, Purchasing and other departments to analyze, review and authorize material procurements and status as needed
+ Regularly interact with the customer, Program Management, Release Trains, Teams (Scrum and Kanban) in the Scaled Agile Framework (SAFe) construct
+ Provide inputs and estimations of future work planned in Program Increment Planning Events of SAFe execution
+ Research and help respond to DCMA inquiries regarding monthly data submissions.
+ Prep for and support events such as Integrated Baseline Reviews (IBR), Program Management Reviews (PMR) and all other EVM or audit activities. Support and brief the associated data and submission in these events
+ Be proficient in analyzing large amounts of data, with excellent verbal and written communication skills to confidently interact with and influence all levels of the organization
+ Formulates and recommends corrective action measures such as schedule revisions, manpower adjustments, fund allocations, and work requirements
**Job Responsibilities** :
+ Performs analyses and prepares reports in order to ensure that contracts are within negotiated and agreed-upon parameters and government cost control guidelines.
+ Prepares budgets and schedules for contract work and performs and/or assists in financial analyses such as funding profiles, sales outlook, and variance analysis
**Basic Qualifications:**
+ 15 years or more of professional experience with a Bachelor's; or 13 years of professional experience with a Master's degree
+ 5 years of EVM Processes and Tools experience with strong understanding of DCMA compliance requirements
+ Position requires U.S. Citizenship
+ Active Secret Security Clearance
**Preferred Qualifications:**
+ Familiarity with Missile Defense, Missile Warning and Space Situational Awareness missions
+ Experience implementing Agile principles and practices within DoD or Federal government environments
+ Experience interacting with Government Stakeholders
+ Experience with Agile Lifecycle Management tools, preferably JIRA
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment that encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family. Your benefits will include the following:
Health Plan
Savings Plan
Paid Time Off
Education Assistance
Training and Development
Flexible Work Arrangements
https://benefits.northropgrumman.com/us/en2/BenefitsOverview/Pages/default.aspx
NGSpace
COSpace
NGFeaturedJobs
**Salary Range:** $123,900 - $185,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Suffolk) Principal Military Trainer / Senior Principal Military Trainer - Space Operations2024-03-13T06:15:49-04:00https://northropgrumman.jobs/B8CC90B332974695A9551D8EED8EC34526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Northrop Grumman Defense Systems sector (NGDS) is seeking a **Principal Military Trainer / Senior Principal Military Trainer - Space Operations** to join our growing team of diverse professionals in **Suffolk, Virginia** . The Military Trainer will support the Joint Staff Support Team in delivering collective joint training (CJT) to enhance the operational effectiveness of the current and future joint force. The Military Trainer will perform duties throughout the Joint Exercise Life Cycle (JELC) in coordination with supported military customers and CJT leads.
**Responsibilities:**
+ Serves as Space Operations Subject Matter Expertise (SME) supporting the Chairman, Joint Chiefs of Staff (CJCS) Joint Exercise Program. The position is in direct support of Joint Staff (JS) J7 Deputy Director Joint Training (DDJT) Joint Exercise Division (JExD)
+ Participates, coordinates and plans during the Joint Event Life Cycle (JELC) Space design scenarios and Master Scenario Event List (MSEL) injects for Space Operations exercises
+ Develops and reviews techniques and procedures relating to space operations functions and responsibilities including lethal and non-lethal operation Joint Command and Control
+ Recommends authority and policy changes to the Unified Command Plan (UCP), Guidance for Employment of the Force, Joint Strategic Capabilities Plan, and other strategic documents
+ Provides Space expertise and supports Global Integrated Exercises (GIEs)
+ Plans, integrates, and coordinates global operations in support of space operations, advocating space capabilities and developing space operations contingency plans and operations
+ Produces an annual comprehensive Space Training and Education (T&E) cost estimate, compiling training and education dollars allocated and expended. Provide expertise input on Quick Reaction Tests (QRT) specific to Space operations
+ Observes and analyzes Space operations and provides input to doctrine writer to ensure accuracy and real-world application
**This position may be filled at either the Principal or Senior Principal level per the requirements below.**
**Basic Qualifications for Principal Military Trainer - Space Operations:**
+ One of the following:
+ Bachelor's degree with a minimum of 6 years of joint operational experience
+ Master's degree with a minimum of 4 years of joint operational experience
+ A minimum of 3 years of experience on a 3-star or 4-star Joint or Service Headquarters staff or civilian equivalent
+ Must be knowledgeable about Space Operations and the role it plays in Joint Operations
+ Be familiar with the Joint Exercise Life Cycle (JELC), Universal Joint Task List (UJTL) and Master Scenario Event List (MSEL) processes
+ Thorough understanding of, and experience in, planning and executing Space Operations at the operational level
+ Intermediate Service School graduate
+ Must be willing and able to travel both CONUS and OCONUS as needed (up to 25% of the time)
+ Must possess an active U.S. Department of Defense (DoD) Secret security clearance with ability to obtain a TS/SCI clearance once aboard
+ Must possess or be able to obtain a valid U.S. Passport
+ Must be able to work on-site in Suffolk, Virginia
**Basic Qualifications for Senior Principal Military Trainer - Space Operations:**
+ One of the following:
+ Bachelor's degree with a minimum of 10 years of joint operational experience
+ Master's degree with a minimum of 8 years of joint operational experience
+ A minimum of 4 years of experience on a 3-star or 4-star Joint or Service Headquarters staff or civilian equivalent
+ Must be highly knowledgeable about Space Operations and the role it plays in Joint Operations
+ Be familiar with the Joint Exercise Life Cycle (JELC), Universal Joint Task List (UJTL) and Master Scenario Event List (MSEL) processes
+ Thorough understanding of, and experience in, planning and executing Space Operations at the higher operational or strategic level
+ Senior Service School graduate
+ Must be willing and able to travel both CONUS and OCONUS as needed (up to 25% of the time)
+ Must possess an active U.S. Department of Defense (DoD) Secret security clearance with ability to obtain a TS/SCI clearance once aboard
+ Must possess or be able to obtain a valid U.S. Passport
+ Must be able to work on-site in Suffolk, Virginia
**Preferred Qualifications:**
+ Active U.S. DoD TS/SCI security clearance
+ Joint Forces Staff College or PMEII graduate
+ Senior Service School graduate
+ Joint Staff or OSD experience
+ Operational experience working with senior General Officer (GO)/Flag Officer (FO)/Senior Executive Service (SES) leaders
+ Command tour at 0-5 or 0-6 level
+ Joint training or exercise experience
+ Experience with operational planning efforts at the strategic level
**Salary Range:** $73,300 - $109,900
**Salary Range 2:** $90,900 - $136,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Patuxent River) Principal Mechanical Engineer / Senior Principal Mechanical Engineer (Liaison/MRB) - 4/10 2nd Shift2024-03-13T06:15:48-04:00https://northropgrumman.jobs/1DD383BA8FC049078412F4868C551F6E26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a Principal or Sr. Principal Mechanical Engineer (Liaison/MRB) to join our team of qualified, diverse individuals within our Vehicle Engineering organization. The role will be located in Patuxent River, MD and is assigned to 2nd shift (Night Shift) on a 4 day - 10 hours/day schedule (Monday - Thursday).
In this role the selected individual will be an on-site Liaison Engineering source of Vehicle Engineering support in day-to-day technical tasking related to a classified program. The selected candidate will coordinate and communicate the site's goals and progress, author detailed engineering direction, as well as collaborate readily with support engineering roles to ensure the integrity of the final product.
We offer flexible work arrangements, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment.
Candidate must be willing to work extended shifts, weekends and holidays as required to meet program schedule and objectives. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
**Key Responsibilities:**
+ Coordinating with a multi-disciplined team of engineers responsible for providing engineering support.
+ Working with subsystems installations and composite & metallic structures.
+ Performing timely dispositions for nonconforming parts, assemblies, and installations.
+ Working as part of a Material Review Board (MRB) and Engineering Change Request (ECR) processes.
+ Excellent written and verbal communication skills, leadership, and problem-solving abilities.
+ Basic knowledge of subsystems: electrical, hydraulics, fuels, fluids and propulsion systems.
+ Some of the tools used are CATIA V5 and TeamCenter Unified & Enterprise.
***This position may be filled at either the Principal Mechanical Engineer or Senior Principal Mechanical Engineer level based on the qualifications below.
**Basic Qualifications for Principal Mechanical Engineer:**
• Bachelor's of Science degree in a STEM discipline AND 5 years of related professional/military experience in Engineering, OR a Master's degree in a STEM discipline AND 3 years of related professional/military experience in Engineering, OR a PhD in a STEM discipline with 0 years of experience.
• Experience with the MRB process
• **Current in-scope DoD Secret Clearance** (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation)
**Basic Qualifications for Sr. Principal Mechanical Engineer:**
• Bachelor's of Science degree in a STEM discipline AND 9 years of related professional/military experience in Engineering, OR a Master's degree in a STEM discipline AND 7 years of related professional/military experience in Engineering, OR a PhD in a STEM discipline AND 4 years of related professional/military experience in engineering.
• Experience with the MRB process
• **Current in-scope DoD Secret Clearance** (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation)
**Preferred Qualifications:**
• Advanced degree in Mechanical Engineering, Electrical Engineering or Aerospace Engineering
• Previous MRB/LE Authority for Engineering
• Experience with CATIA V5, NX, Visualization Pro, TeamCenter, MES, or other CAD programs
• Experience providing autonomous engineering support
• Experience briefing senior leadership on team progress and goals
• Experience with the manufacture and repair of composite structures
• Experience with the conceptual operation, and troubleshooting of, typical aircraft subsystems
• Experience working hands-on in a production assembly line environment
• Experience with Model Based Engineering (MBE ) (3-D model engineering definition)
• Excellent written and verbal communication skills, leadership, and problem solving abilities
• Experience in a technical leadership and/or management role
• Experience working in an aircraft manufacturing facility
• Experience interfacing with a military customer
• Experience working hands-on in a production assembly line environment
• Experience with aircraft structures design
• Experience with aircraft subsystem design
**Salary Range:** $97,500 - $146,300
**Salary Range 2:** $120,900 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Manager Electronics Engineering 22024-03-13T06:15:48-04:00https://northropgrumman.jobs/C4CB2FCDC301408B80070FE97C2D3DD526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems Sector, located in **Linthicum, MD** is seeking an **Antenna Test Engineering Manager** for the Test Operations & Product Development Labs team.
The dual-role manager position comprises both group management duties as well as the performance of individual productive work on several programs and capital projects. The Manager will spend around 50% of their time on support of the organization (people leadership) and around 50% of their time on technical program work. The engineers on this team are comprised of 2nd shift and Weekend shift engineering staff.
Management responsibilities : The Antenna Test Engineering Manager will lead a team of 15-20 test software and hardware development engineers who work on various programs, performing antenna test equipment designs and integration with new hardware, engineering and production software development, and antenna test production support. All the engineers on this team work either on 2nd shift or on the weekend shift. This is a 1st shift position but the Manager will need to have the ability to spend an appropriate amount of time with the Team on the shift that they are working. The Manager will perform yearly goal setting; evaluate performance; enable skill development and career growth; monitor compliance with department processes and company values; provide team leadership and engagement; and approve weekly time charging. The Manager will also interact with program business areas to coordinate staffing and other program support (e.g. proposal reviews, customer meetings, capital and NCTA planning). Strong team leadership qualities including excellent communication and organizational skills are needed.
Technical responsibilities : The manager will be responsible for technical program work which is comprised of leading projects for a program or capital funded project. This includes effective management oversight, managing manpower and providing technical oversight and engineering direction to the project as well as communicating the project's status to Program or Business Management. The Manager will work with the engineers on their team to support the programs, test software and test equipment design related to phased array testing, troubleshoot RF issues, support root cause corrective actions , with a sensitivity to cost, schedule and quality.
The successful candidate will be able to develop and maintain good working relationships with internal and external stakeholders. Will have an ability to operate in a team environment and collaborate across the sector business areas as needed to accomplish team and department goals. Will be able to build diverse and inclusive teams with high levels of engagement, including mentoring and coaching.
**Basic Qualifications:**
+ Bachelor's Degree in Electrical Engineering, Systems Engineering, Software Engineering, Computer Engineering, or other related technical curriculum plus 9 years of relevant experience; or Master's Degree in Electrical Engineering, Systems Engineering, Software Engineering, Computer Engineering, or other related technical curriculum plus 7 years of relevant experience
+ Experience leading/managing tasks, projects and/or people
+ Knowledge with test equipment and test software development
+ Have the ability to support a 2nd shift and weekend engineering team
+ Must have a current, active Department of Defense (DoD) Secret Clearance
+ U.S. citizenship
**Preferred Qualifications** :
+ Previous antenna design or test experience
+ Experience with software development for controlling test equipment and for data analysis
+ Functional manager, program manager, IPT lead, and/or other significant leadership role that included people and technical leadership as well as project cost and schedule responsibilities
+ Experience designing and building test equipment
+ Experience designing and managing test facilities, working with facilities engineering and facility design teams
+ Experience with software development
+ Have the ability to obtain a Special Access Program (SAP) clearance
RFTBWI, MANUMS
**Salary Range:** $145,000 - $217,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Sentinel Principal / Sr. Principal Software Engineer - 121722024-03-13T06:15:47-04:00https://northropgrumman.jobs/7EA2EAE1620B440F90394B3633AD7D6326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking **Principal / Sr. Principal Software Engineer - 12172** This position will be located in **Roy, Utah** and will support the Sentinel program.
The selected candidate will use CAMEO and other tools to create software architecture models and various documents in support of the Sentinel Physical Security team. This is a hands-on technical position which requires experience in software architecture modeling.
Your responsibilities will also include:
• Provide software architecture modeling support using CAMEO
• Mentor fellow engineers and support their technical efforts
• Work with systems, hardware, test and software engineers to create architecture models
• Utilization of strong software engineering and architecture skills
• Apply technical expertise in solving complex integration challenges
**Position Benefits:**
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. This role may offer a competitive relocation assistance package.
Job Qualifications:
You'll Bring These Qualifications:
+ **Principal Software Engineer:** 05 Years with Bachelor's in relevant field of study, 03 Years with Masters, 00 Years with PhD or 04 additional years in lieu of a degree.
+ **Sr. Principal Software Engineer:** 09 Years with Bachelor's in relevant filed of study, 07 Years with Masters, 04 Years with PhD or 04 additional years in lieu of a degree.
+ US Citizenship, ability to obtain DoD Secret and ability to obtain Special Program Access (SAP).
+ Experience working in an Agile environment.
+ Working knowledge of OOAD and MOSA principles.
+ At least 3 years' experience with web technologies such as Node.js, JavaScript, and TypeScript.
+ Experience with full stack software development.
+ Experience with CAMEO.
These Qualifications Would be Nice to Have:
+ Master's degree in Software Engineering or a related field; or in a STEM field.
+ Active DoD Secret clearance (in-scope).
+ Current Special Program Access (SAP).
+ Deep understanding of software architecture and its associated tools and procedures.
+ Experience with modern web technologies, virtual machines, containerized applications, Kubernetes, and client frameworks.
\#GBSDsoftware
**Salary Range:** $92,600 - $139,000
**Salary Range 2:** $114,900 - $172,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-GA-Robins AFB) Principal Mission Assurance Quality Analyst 32024-03-13T06:15:46-04:00https://northropgrumman.jobs/29A0DB3A5AE143BBB79506751F4F800D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Are you ready to put your experience to work at Northrop Grumman? If so, Our Defense Systems Sector wants you to join our team as a Mission Assurance Quality Analyst 3.
This position is located at **Robins AFB, GA** , and requires you to **work on site daily.**
**No relocation assistance** is available.
This position requires working **any shift as/when needed** .
This position requires **the ability to deploy nationally and internationally** for periods of up to **180** days to support CONUS and OCONUS operations **as/when required** to backfill staffing needs or when USAF customer requirements dictate.
Once employed for the role **- must be willing and able** to do required travel **within 72 hours of notification of the travel.**
You will support ground operations in execution of aircraft maintenance to meet all USAF E-11A mission requirements from its CONUS base location. You will also support offsite aircraft maintenance locations during aircraft diversions and exercises as tasked by the USAF.
You will be responsible to define and monitor mission assurance program specifications and processes to ensure mission success of programs.
**Duties include:**
Performs or assures quality, risk management, safety, reliability and maintainability of program achievements, subcontractors, and suppliers in accordance with contractual requirements. Assesses program performance, risks, and determines resources to ensure mission assurance.
**Specific duties:**
1.Primary mission is for the maintenance generation of a USAF E-11A (Bombardier BD-700 commercial derivative aircraft (CDA)) fleet. Candidate will ensure all aspects of aircraft maintenance to include planning, execution, quality control, launch and recovery, and direct support to USAF pilots and other personnel are within contract guidelines, company policies, and ground operating procedures.
2.Ensures compliance with DCMA 8210.1 Contractors Procedures through audits and corrective / preventive action.
3.Primary point of contact for external audits performed at site. Assists in preparation of corrective actions, tracks issues to closure ensuring implementation and effectiveness of actions taken.
4.Acts as EH&S Representative and Aviation Safety Official in support of contract execution.
5.Supports site level initial and recurrent training.
6.Liaisons with customer on matters of Quality and Safety.
7.Supports identification of and actions taken to improve program performance.
8.Accomplish other tasks as assigned by the manager.
**Basic Qualifications** :
A High School diploma or equivalent
**AND**
At least 9 years of direct relevant mission assurance experience
5 years relevant mission assurance experience with bachelor's in science
**OR**
3 years relevant mission assurance experience with master's may also be considered.
Experience in aircraft maintenance operating under DCMA INST 8210.1.
Experience in Aviation Maintenance Quality Assurance.
**FAA Certified Airframe & Powerplant.**
**A current DoD secret security clearance.**
Ability to obtain US Passport.
Ability to obtain and maintain a Corporate Travel Card.
Military/Commercial large aircraft maintenance experience.
**Preferred Qualifications** :
Ability to pass a general medical physical.
Bombardier BD-700 commercial derivative aircraft (CDA) and or Global XRS and Global 6000, USAF E-11A aircraft experience.
Rolls-Royce BR-710 experience (5 or more years).
Training in OSHA regulations and compliance.
Experience with operations under AS9100 / 9110 certification.
10 years transport category aircraft experience.
Special Mission aircraft experience.
DoD maintenance program experience.
NGSkills
**Salary Range:** $76,600 - $115,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Sr Principal Quality Analyst - 125472024-03-13T06:15:46-04:00https://northropgrumman.jobs/890D40D137EC4FF7898595D17B2C59DE26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **Sr Principal Mission Assurance Engineer.** This position will be located in Roy, UT and will support the Sentinel (GBSD) program.
**This role may offer a competitive relocation assistance package.**
**What You'll Get to do:**
+ Selected candidate will support MA functions to ensure mission success.
+ Technical reviews of all Sentinel drawings, SOW's, Requirements documents as required.
+ Performs or assures quality, risk management, safety, reliability, and maintainability of Sentinel achievements.
+ Assesses GBSD performance and risks and determines resources to ensure mission assurance.
+ Assist the Program Managers (PM) with all GBSD Mission Assurance /Program Management requirements.
+ Develop, implement, and maintain the Sentinel Program Quality Plans.
+ Support Sentinel program FRBs ensuring identification of root cause and proper implementation of corrective actions and reach back and reach across.
+ Assist PQM in preparation and execution on of Program Preventive and Corrective Action Board (PCAB).
+ Develop and maintain Sentinel Program Metrics.
+ Support PQM with Sentinel Customer Corrective Action Requests.
+ Support IPT MA & QE in Conditional Acceptance requirements and flow down.
+ Monitor timely closure of Conditionally Accepted hardware and work corrective actions with IPT MA & QEs.
+ Support operational activities with product inspection plans and acceptance.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**You'll Bring These Qualifications:**
(Basic Qualifications)
+ Bachelor's degree and 10 years of quality work experience; or a Master's degree and 8 years of quality work experience, or an additional 4 years of relevant work experience may be considered in lieu of a degree.
+ Demonstrated understanding of the non-conformance and corrective action process
+ Active Secret clearance required and ability to obtain Special Program Access
+ Must be a US Citizen
**These Qualifications Would be Nice to Have:**
(Preferred Qualifications)
+ Working knowledge of AS9100/ISO9001 industry standards
+ 6+ years of audit experience
+ MRB experience
+ Configuration Management Experience
+ US Citizenship with an Active Secret Clearance or higher (awarded within the past 6 years)
+ Experience with developmental and operational testing.
+ Experience with Mission Assurance Engineering and Quality Engineering practices.
+ Experience in Missile Systems/Sub-Systems design, construction, or sustainment.
+ Experience in process monitoring, data collection, analysis, and metric reporting for overall program and segments.
+ Experience within manufacturing and engineering environments.
+ Previous technical experience with ICBM
**Salary Range:** $95,000 - $142,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Dulles) Sr. Principal Manufacturing Earned Value and CAM SME2024-03-13T06:15:44-04:00https://northropgrumman.jobs/5698D36BAE074969ACCD3E02A3BBBADD26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is seeking a **Sr. Principal** **Manufacturing Earned Value and CAM SME** to join our team of qualified, diverse individuals. This position will be located in Dulles, VA.
**Roles and Responsibilities include, but are not limited to, the following:**
+ Ensuring program schedules are horizontally and vertically integrated.
+ Implementing scheduling best practices as prescribed by industry to include, but not limited to: DCMA 14-point , IPMR DI-MGMT-81861 , Earned Value Management System (EVMS) , Critical Path Analysis, Schedule Risk Assessment (SRA) , Schedule Performance, Health Metrics and Analysis , Provides weekly and monthly status and reporting
+ Tracking plans and schedules.
+ Creating, maintaining and validating Schedule data.
+ Performing risk analysis and management.
+ Identifying and resolving critical path and network logic conflicts.
+ Utilizing Gantt, milestone charts, Earned Value Management (EVM) and other project management techniques to gauge progress and identify performance variances.
+ Support manufacturing's ownership of budgets and cost accounting (CAM activities), as well as evaluate and provide critical earned value metrics for manufacturing leadership to ensure production is scaling and efficient.
+ Identifying cost reduction opportunities based on current manufacturing processes.
+ Work closely with the Manufacturing Engineering team as well as the Industrial Engineering teams to ensure metric accuracy and consistency across all of manufacturing in Dulles and Gilbert.
+ Direct or indirect management of manufacturing CAMs who are dedicated to specific programs running through the manufacturing work centers.
\#NOVASPACE
**Basic Qualifications:**
+ Bachelor's degree with 10+ years of related experience in program/project planning and scheduling, logistics or supply chain - OR - a Master's degree with 8+ years of related experience. An additional 4 years of experience accepted in lieu of a degree. (if the experience is in Earned Value and/or Cost Account Management)
+ Strong PC skills and proficient knowledge with Microsoft Office Suite, including MS Excel and PowerPoint.
+ Experience with scheduling software (i.e., MS Project 2016, Open Plan, PS3, Primavera P6, Milestone Professional, Deltek and SSI Tools).
+ Must have U.S. Citizenship with the ability to obtain clearance.
**Preferred Qualifications:**
+ Active DoD Top Secret security clearance. US Citizenship is a prerequisite.
+ Proficient in MS Project 2016
+ Experience with resource loading Schedules.
+ Earned Value Management Systems (EVMS) experience and Schedule Management.
+ Experience with Schedule Risk Assessment (SRA).
**Salary Range:** $120,900 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Goleta) Business Management Manager2024-03-13T06:15:44-04:00https://northropgrumman.jobs/6971655CBD1A45209C5638A904B1508726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Space Systems Sector is seeking a Business Management Manager for our Deployable Systems Operating Unit. **This position will be located in Goleta, CA and will be required to travel to Oxnard, CA approximately 10% of the time. Relocation is available.**
The Business Management Manager will be a direct report to Business Management and matrix to the Deployable Systems Operating Unit Product Director. The Manager will partner with the Operating Unit Director and Program Managers to manage all financial aspects to achieve the Business Unit's financial and strategic objectives. Roles and responsibilities include, but are not limited to, the following:
+ Coordinate and lead all business management functions including but not limited to quarterly EAC process, financial forecasting, monthly reporting, earned value management and pricing
+ Provide direct management for a program cost team that resides at multiple locations
+ Provide financial business analysis and reporting with the ability to clearly communicate with senior management as it relates to financial program status and analyses.
+ Coordinate and Develop business strategy with both BU FP&A and OU Product Director to enable accurate forecasting and long-range planning
+ Oversee key line forecasting, work authorization, implementation of EV reporting, program budgeting, estimate at complete development, and various financial reporting activities.
+ Coordinate cost and schedule analyses and preparation of reports to ensure contracts are within negotiated and agreed-upon cost and schedule parameters and government cost control guidelines.
+ Assist in coordinating proposals from draft RFP to award, including review of RFP, FAR clauses, coordination with subcontractor flow-downs, basis of estimates, indirect rates, pricing strategies, cash management, negotiation strategy and oversight, and presenting to Senior Management.
+ Manage risks with multiple contract types from both an execution and financial perspective.
The successful candidate must have a proven track record in leading a diverse team as well as the ability to promote the professional development of the business management workforce, fostering a collaborative culture that sustains the momentum around ethics and integrity, employee engagement, affordability, innovation, value growth and continuous improvement. Additionally, the ideal candidate must possess the following KEY attributes:
+ Leadership - No issues holding teammates accountable to due dates
+ Interpersonal Skills - Clean communicator, works will with teammates, does not work in silos
+ Agility - Comfortable working in non-standardized environments
+ Organized - Capable of managing multiple time sensitive deliverables
**Basic Qualifications:**
+ Bachelor's degree with 10+ years of related experience - OR Master's degree with 8+ years of related experience
+ Will consider an additional 4+ years of experience in lieu of degree
+ 2+ years of people management experience
+ Demonstrated ability to lead teams and develop talent across multiple footprints
+ Experience with program proposals, cost and schedule control, program budgeting and forecasting for both DoD and International Programs
+ Experience with ERP Systems and MS Project
+ Earned Value and financial reporting experience on a variety of contract types
+ Ability to obtain and maintain a US Secret level security clearance
**Preferred Qualifications:**
+ MBA
+ Experience in managing EAC's on challenging programs [including programs that are in a total loss]
+ Experience working with CostPoint and IBM Planning and Analytics tools
+ Familiarity with the risk management process and the development of S-Curve modeling for proposals and schedule risk assessments
**Salary Range:** $149,400 - $224,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Sunnyvale) Contracts Operations Manager 22024-03-13T06:15:44-04:00https://northropgrumman.jobs/C327402B6EBD4704B96D00120AC597DE26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
We are looking for you to join our team as a **Contracts Operations Manager 2** based out of **Sunnyvale, CA.** This position may be staffed as a hybrid role from Sunnyvale, CA. This position offers the 9/80 schedule.
**What You'll get to Do:**
The **Contracts Operations Manager 2** will be a direct report to the Director of Contracts, Pricing, and Government Property for the Marine Systems Business Unit within the Maritime/Land Systems and Sensors Division. The Contracts Operations Manager will partner closely with the three Operating Unit Contracts Managers, Program Office, Global Supply Chain, and Operations in support of the Business Unit's compliance, strategic, and financial objectives.
As the **Contracts Operations Manager 2** , you will lead a team of eight professionals with functionally diverse responsibilities spanning from the oversight of $100M of government property; leading the digital transformation of a repository of over 1,000,000 archived records; generating 500+ customer quotations per year; ensuring timely shipment of mission critical hardware; and facilitating contract closeouts. As the Contracts Operations Manager, you will also be expected to leverage data analytics to inform data-oriented decision-making and optimize overall team performance for the business unit. As the Contracts Operations Manager you also will be required to provide objective-oriented direction, utilizing management guidelines, general policies, and maintain a strong level of engagement by inspiring, motivating and developing a diverse team of professionals.
Responsibilities :
+ Direct management, oversight, and career development of eight professionals from Contracts, Government Property, and Archives.
+ Leverage data analytics to drive compliance, timeliness, and inform business area decision-making.
+ Identify, develop, and recommend risk mitigation strategies to address complex issues.
+ Ensuring compliance with our government property system polices and requirements.
+ Interpret, execute, and recommend modifications to policy and procedures.
+ Provide adequate resourcing and oversight to ensure execution of the goals of the organization.
+ Foster and maintain relationships with internal and external customers.
+ Interface with executive management regarding contracts, government property, archives, and related matters.
**Basic Qualifications :**
+ Bachelor's degree with 10 years of professional experience in Contracts, Business Management. Government Property Administration, or related field - OR - Master's degree with 8 years of professional experience as described.
+ Will consider 14 years of applied experience in lieu of degree.
+ Prior experience presenting to and negotiating with all levels of management.
+ Demonstrated people leadership experience, either in a supervisory, team, or project lead role.
+ Experience working collaboratively with multiple stakeholders to solve complex problems.
+ Demonstrated proficiency with Excel, PowerPoint, Word, and Outlook.
+ US Citizenship is required.
+ The ability to obtain and maintain a DoD Secret clearance is required.
**Preferred Qualifications:**
+ Demonstrated proficiency in data analytics tools such as SAP, Tableau, Confluence, etc.
+ Prior management experience and demonstrated ability to lead teams and develop talent.
+ Demonstrated understanding (interpretation and compliance at a senior level) of and experience with FAR and DFARS.
+ Prior experience with DOD contracts and a variety of contract types such as Cost Plus, Fixed Price, Fixed Price Incentive Fee, etc.
+ JD/MBA
**What We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $125,500 - $188,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Corinne) Calibration Technician 22024-03-13T06:15:44-04:00https://northropgrumman.jobs/E478724409524035BF21BB23D469629A26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman, Innovation Systems is currently seeking an experienced **Calibration Technician,** Metrology to provide calibration services to our Metrology team in our **Promontory** **,** **Utah** offices.
**Role description & Responsibilities:**
Calibrates electronic and dimensional test and measuring equipment and signal generating equipment to conform to set standards. Tests, calibrates, adjusts, and maintains electromechanical, mechanical, optical, pneumatic, force, and pressure-type measuring and indicating instruments. Sets up calibration sequences, methods and procedures according to detailed specifications, blueprints, drawings, and requirements. Disassembles, cleans some instruments to calibrate. May monitor and verify quality in accordance with statistical process or other control procedures.
Complete all training as assigned and work under the guidance of a mentor until they are satisfied with training. Must be willing to work in all weather conditions and be able to lift 50 LBS. repetitively this job will be a mix of field and lab work. Once training is complete the candidate will be responsible for keeping up with backlog and maintaining promised turnaround time for customers where they are assigned. When working in the field the candidate will be responsible for scheduling all work with the customer.
**Minimum Requirements:**
High School Diploma or GED. Experience in use of calibrated hand tools, machining or metal component fabrication, Product Service troubleshooting which includes troubleshooting down to the component level. The ideal candidate will have strong experience in reading schematics, wiring diagrams, assembly drawings, performing test/calibration procedures and asking the right questions for diagnostics. Must be capable of lifting 50 pounds in a wide variety of motions. In addition, the candidate must possess the ability to interact with Design Engineers within the organization, for any advice and questions regarding the various products we design and manufacture for which the candidate will be troubleshooting.
Knowledge of Microsoft office applications is a plus as is being both organized, attentive to detail and safety conscious.
**Other desired requirements include:**
Experience in electronics and precision hand tools preferred. Experience with reading blueprints, specifications, and shop mathematics. Experience in working with measuring instruments and developing circuitry. Experience with machine controls, fabrication, automation and robotics. Physical demand involves standing or moving about the plant more than half the time. Working knowledge of Microsoft Office or equivalent productivity suites currently in use by the company.
**Salary Range:** $42,323 - $70,538
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Apopka) Casual Technical Professional2024-03-13T06:15:43-04:00https://northropgrumman.jobs/53A6AC9DBFE841B18A41B0A6D256CEB226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Develops and evaluates metrology calibration systems that measure characteristics of objects, substances, or phenomena, such as length, mass, time, temperature, electric current, luminous intensity, and derived units of physical or chemical measure. Identifies the magnitude of error sources contributing to the uncertainty of results to determine the reliability of the measurement process in quantitative terms. Develops calibration methods and techniques based on principles of measurement science, technical analysis of measurement problems, and accuracy and precision requirements.
**Roles and Responsibilities:**
+ Repair and also calibrate the older electronic/optical test equipment used in metrology. Examples are lab lasers 1064um and 1550um sources used to do probe calibrations; Pin gage optical measurement system (Z Mic); pulse laser sources made by Berkeley Nucleonics equipment; Pulse generators such as a DG535; Spectrometer located in the Failure Analysis lab, energy probes, production programs boresight fixtures, and resistance meters. Evaluation and disposition of CCA's, including debugging and fault isolation. This may include a broad range of circuit technologies such as digital, analog, power, and RF Tests.
+ Works under only general direction. Independently determines and develops an approach to solutions.
+ Skill set to Operate the following test equipment to help with troubleshooting and repair including optics: spectrometers, soldering iron, oscilloscopes, cleaning optic surfaces, Microsoft software suite, and range GUI software.
+ Utilize basic electronic troubleshooting tools. DMM, oscilloscopes, power supplies, frequency generators, spectrum analyzers, and data collection too.
+ Reading and understanding schematics, drawings, and parts lists.
+ Provide recommendations and justification for new tests and equipment.
**Basic Qualifications:**
+ Bachelors in electrical engineering, Electronics Technology, Computer Science, Computer Engineering, Mechanical Engineering, or other STEM-related technical discipline with 2 years of experience; or 13 years' experience in lieu of a technical degree.
+ Experience with testing or troubleshooting electronics assemblies.
+ Must be able to collaborate in a team environment, negotiate, and integrate different viewpoints.
+ Design Excel sheet templates for the collection of calibration test data.
+ Engage with electronic and optical part vendors to order repair parts or equipment to reduce calibration times.
+ Process calibrated test equipment for delivery to the off-site Cal lab. (TMI)
+ Must be able to prioritize and adjust tasks to accomplish the project result.
+ SAP experience.
+ US Citizenship is required with the ability to obtain and maintain a DoD Secret clearance.
+ Part-time work, with a minimum of 21 hours per week
**Preferred Qualifications:**
+ Repair and also calibrate the older electronic/optical test equipment.
+ Calibrate fiber optic attenuators.
+ Calibrate Pulse Laser probes.
+ Perform ATP bench calibrations.
+ Assist with the range survey calibration.
+ Perform regular calibrations on the following equipment: DVM, O-Scopes, RF meters, Auto collimators, Spectrometers, Energy Probes, Diode drivers, Energy probe meters, boresight fixtures, fiber optic attenuators, calibrated optical filters.
+ writing calibration test procedures
+ Assist with internal customer assignment of requested calibrated test equipment.
+ Assisted the optical engineering department with electro-optical testing, bench set-ups a,nd calibrations.
+ Minimum 13 years' experience.
MAQEA
**Salary Range:** $84,200 - $126,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-IL-Rolling Meadows) Project Manager (Specialty Engineering Team)/ Principal Project Manager (Specialty Engineering Team)2024-03-13T06:15:43-04:00https://northropgrumman.jobs/ECF0BAFE1D144E319FE52449B071119A26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Specialty Engineering team located in Rolling Meadows, IL (near Chicago) is seeking a self-starting, team-oriented leader to project manage general team tasking. This position provides exposure opportunities with leaders in the program office as well as across functional departments within the company in support of program execution. In this role, close customer interface will be required to successfully support FRACAS work. Product line knowledge, Cost Account Management (CAM), and technical IPT skills will mature in this dynamic environment.
In this position, the project manager ensures the Specialty Engineering team is meeting deliverable and financial targets. This role will collaborate with the Program Office, Operations, Engineering, Supply Chain, Finance, Contracts, Mission Assurance, and other functions on all aspects of the program to ensure FRACAS goals are met.
**Specific duties will include:**
• Supporting Specialty Engineering deliverables and finances for all contracts
• Support day to day activities for the IRCM FRACAS team
• Manage data team is receiving from customers
• Lead tool management for IRCM FRACAS and coordinate changes with larger teams
• Coordinate a large customer meeting up to 4 times a year that involves internal and external customers
• Support proposal efforts for upcoming contracts for Specialty Engineering team
This requisition may be filled at either a **Project Manager (Specialty Engineering Team)/Principal Project Manager (Specialty Engineering Team)**
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment that encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family. Your benefits will include the following:
+ Health Plan
+ Savings Plan
+ Paid Time Off
+ Additional benefits
+ Education Assistance
+ Training and Development
+ 9/80 Schedule
**Basic Qualifications** **Project Manager (Specialty Engineering Team)** :
• Bachelor's degree with a minimum of 3 years of related experience or Master's degree with a minimum of 1 year of related experience
• Demonstrated ability to lead and communicate with cross-functional teams internally
• Demonstrated & previous Project Management experience
• Must be familiar in using MS Suite
• May develop and deliver presentations to small groups
• U.S. Citizen and ability to obtain Security Clearance - Secret
**Basic Qualifications** **Principal Project Manager (Specialty Engineering Team)** :
• Bachelor's degree with a minimum of 6 years of related experience or Master's degree with a minimum of 4 year of related experience
• Demonstrated ability to lead and communicate with cross-functional teams internally
• Demonstrated & previous Project Management experience
• Must be familiar in using MS Suite
• May develop and deliver presentations to small groups
• U.S. Citizen and ability to obtain Security Clearance - Secret
**Preferred Qualifications:**
• Knowledge of government contracting requirements and proposal process
• Earned Value experience
• Demonstrated ability to lead and communicate with cross-functional teams internally and with Customers externally
• Experience with the NG product lines and NG processes
**Salary Range:** $68,800 - $103,200
**Salary Range 2:** $84,600 - $127,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Boulder) Principal/Sr. Principal Cybersecurity Analyst2024-03-13T06:15:42-04:00https://northropgrumman.jobs/4428BE4A59CD4ED98B1637B9A1F6666126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Position Overview:**
The selected Principal/Sr. Principal Cybersecurity Analyst will be responsible for perform assessments of systems and networks within the networking environment or enclave and identify where those systems and networks deviate from acceptable configurations, enclave policy, or local policy. Establish strict program control processes to ensure mitigation of risks and supports obtaining certification and accreditation of systems. Support the A&A process for system accreditation.
Responsibilities will include (but not limited to):
+ Establish strict program control processes to ensure mitigation of risks and supports obtaining certification and accreditation of systems. Includes support of process, analysis, coordination, security certification test, security documentation, as well as investigations, software research, hardware introduction and release, emerging technology research inspections and periodic audits.
+ Assist in the implementation of the required government policy, make recommendations on process tailoring, participate in and document process activities.
+ Perform analyses to validate established security requirements and to recommend additional security requirements and safeguards.
+ Support the formal Security Test and Evaluation (ST&E) required by each government accrediting authority through pre-test preparations, participation in the tests, analysis of the results and preparation of required reports.
+ Document the results of Certification and Accreditation activities and technical or coordination activity and prepare the system Security Plans and update the Plan of Actions and Milestones POA&M.
+ Periodically conduct a complete review of each system's audits and monitor corrective actions until all actions are closed.
**Note:** Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
**This requisition may be filled at a higher grade based on qualifications listed below.**
**Basic Qualifications for Principal Cybersecurity Analyst**
+ Bachelor's Degree with 5 years of experience; Master's Degree with 3 years of experience; OR an Associate's Degree with 7 years of experience; OR a High School Diploma/GED with 9 years of experience is required
+ Must hold an active DoD TS with eligibility for SCI access level
+ Must be willing to obtain a CI Polygraph
+ Experience reviewing technical security assessments of computing environments that identify points of vulnerability or non-compliance
+ Experience implementing the Risk Management Framework Process (RMF) and ability to support and document certification and accreditation artifacts
+ Must have experience supporting the A&A process for system accreditation
+ CompTIA Security+ CE
**Preferred Qualifications:**
+ Must have a current DoD 8570 IAM level III security certification (CISM, GSLC, CCISO, CISSP, CASP) or other information technology security related certifications
+ Experience with STIG, Tenable Security Center & Nessus, eMASS, XACTA
+ Background in Technical Writing or Instructional Systems Design would be helpful
+ Knowledge of RHEL, SPLUNK, SCAP, POA&Ms, NIST, system audits, vulnerability scanning, and RMF package development preferred
+ Active CI Polygraph
**Basic Qualifications for Sr. Principal Cybersecurity Analyst :**
+ Bachelor's Degree with 9 years of experience; Master's Degree with 7 years of experience; OR an Associate's Degree with 11 years of experience; OR a High School Diploma/GED with 13 years of experience is required
+ Must hold an active DoD TS with eligibility for SCI access level
+ Must be willing to obtain a CI Polygraph
+ Experience reviewing technical security assessments of computing environments that identify points of vulnerability or non-compliance
+ Experience implementing the Risk Management Framework Process (RMF) and ability to support and document certification and accreditation artifacts
+ Must have experience supporting the A&A process for system accreditation
+ Experience with STIG, Tenable Security Center & Nessus, and eMASS, Xacta or SNOW
+ CompTIA Security+ CE
**Preferred Qualifications:**
+ Current DoD 8570 IAM level III security certification (CISM, GSLC, CCISO, CISSP, CASP) or other information technology security related certifications
+ Background in Technical Writing or Instructional Systems Design would be helpful
+ Knowledge of RHEL, SPLUNK, SCAP, POA&Ms, NIST, system audits, vulnerability scanning, and RMF package development preferred
+ Active CI Polygraph
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment that encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family. Your benefits will include the following:
Health Plan
Savings Plan
Paid Time Off
Education Assistance
Training and Development
Flexible Work Arrangements
https://benefits.northropgrumman.com/us/en2/BenefitsOverview/Pages/default.aspx
NGSpace
COSpace
NGFeaturedJobs
**Salary Range:** $107,300 - $160,900
**Salary Range 2:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Fort Gregg Adams) Principal User Applications Liaison - IM/WM Tester2024-03-13T06:15:42-04:00https://northropgrumman.jobs/55EDD45F3D834D889EA0B15D4864BB9E26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems (NGDS) is seeking Principal User Applications Liaison - IM/WM Tester to join our diverse team of professionals located in **Fort Gregg-Adams, VA.**
**Roles and Responsibilities:**
As a Supply Support Activity, Inventory Management/Warehouse Management Tester:
+ Interfaces between systems analysts/programmers and users located in a specialized area to ensure that information technology designs meet the needs of the end users within an organization.
+ Requires general-logic knowledge of system capabilities without necessarily the ability to program.
+ Supports and ensures customer requirements and project milestones are met. Ability to work in a team-focused environment.
+ Responsible for the design, development, and testing of Software to include Enterprise Resource Planning Systems (ERP) embedded software,
+ Perform hands on integration and testing of software with target hardware in the loop and interfacing with various disciplines including system engineering, software engineering and configuration management.
+ Test Engineer will support planning and test efforts for new/existing software releases received from the Software Engineering team and final software build prior to deployment to operational sites.
+ Updates and maintain scripts to address business and technical use cases.
+ Update and maintain Test Reports and Test Plans.
+ Support the automation strategy/effort and generate scripts to perform automated testing cycles.
+ Must be flexible, independent, and self-motivated, punctual regular and consistent attendance.
**Basic Qualifications:**
+ Bachelor's degree with 6 years of experience OR Master's degree with 4 years of experience OR 10 years of experience in lieu of degree.
+ Ability to obtain and maintain a Secret Security Clearance
+ Min of 3 years of related experience in SAP
+ Must have general knowledge of Using HP QC or HP ALM
**Preferred Qualifications:**
+ Current Active Secret Clearance
+ Army Logistical knowledge a plus
+ Strong technical background in SAP IM/WM
+ Knowledge of HP QC or HP ALM for test script development
**Salary Range:** $88,600 - $133,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Sr Principal Computer Systems Analyst / Windows Admin - Secret2024-03-13T06:15:42-04:00https://northropgrumman.jobs/73BDF58B33E64B5F8C0F73407DFC36B226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Are you ready to put your experience to work at Northrop Grumman? If so, our Defense Systems sector wants you to join our team as a Senior Principal Computer Systems Analyst - Windows Administrator and join our dynamic team of technical professionals in the Palmdale and/or San Diego area.
**Roles and responsibilities include:**
• Perform as primary Windows System Administrator for a large, dynamic classified government contract
• Communicate effectively at all levels of the organization, with internal or external customers, in written and oral format.
• Maintain smooth operation of multi-user computer systems, including coordination with network, software, and system engineers, PC desktop technicians, project managers, end users, and customer and IT management.
• Support multiple networks. Ensure necessary administrative tasks are completed, direct others as necessary.
• Analyze internal or external customer requirements and determine equipment and software requirements for solutions to problems by using automated or advanced techniques.
• Recommend and implement system enhancements that will improve the performance and reliability of the system including installing, upgrading/patching, monitoring, problem resolution, and configuration management.
• Provide backup and recovery services; manage file systems and disk space; manage virus protection on a routine basis.
• Create and maintain user and computer accounts and modify file permissions and security access lists.
• Test new releases of products to ensure compatibility and minimize user impact.
• Make recommendations to purchase hardware, software, system components that lead to the future success of the program.
• Interact, meet, discuss, and troubleshoot issues with vendors; evaluate vendor products, services, and suggestions.
• Adhere to strict Information Systems security guidelines in all cases.
• Maintain security audit and logging information on all classified networked and standalone computers as directed by the Information Systems Security Manager (ISSM).
• Prepare security documentation for input to Computer Security.
• Report project status as required for all recurring and non-recurring efforts.
• Works under minimal direction and independently determines and develops approach to solutions.
**Additional duties will include:**
• Provides technical support for computers and associated networks.
•Installs, troubleshoots, services, and assists in the repair of PC, related PC software, telephones, cables, and connectors. Connects personal computers and terminals to existing data networks. Performs basic PC setups.
•Instructs and assists users in the use of personal computer software and networks. Investigates information, network, and communications needs of users, and makes recommendations regarding software and hardware purchases. Maintains trouble logs.
•Completes paperwork and other tasks needed to satisfy Security requirements. Follows all Security regulations, guidelines, and processes regarding the installation, maintenance, and retirement of PCs and PC-related hardware and software. Works with Security to remediate classified data spillage incidents.
•Coordinates with users, IT staff, server administrators, network engineers, customer, and IT management for internal and external change control board packages.
•Reviews the trouble ticket queue to identify issues that need to be addressed. Works through the ticket queue without intervening management direction (self-motivated). Resolves tickets within established SLAs. Raises issues that require management attention or involvement.
•Establishes and maintains high levels of customer satisfaction according to defined SOW objectives while adhering to established policies.
Works with system administrators and other staff to resolve support issues, escalating where appropriate.
•Participates in new installations, testing and special projects as needed.
•Spends significant portions of the day away from the desk supporting users and moving, upgrading, managing equipment.
•Exhibits good communication skills, an energetic approach, and patience with customers
NGSkills
**Basic Qualifications**
+ A minimum of 13 yrs of related experience in Windows Administration and a High School diploma or equivalent. A Bachelor's degree and 9 years of related experience may also be considered.
+ Current Security + CE or ability to obtain within 6 months of hire.
+ Current Secret Clearance and able to maintain said clearance.
+ Ability to obtain and maintain a Special Access Program (SAP/SAR) clearance within 365 days of required paperwork submission.
+ Ability to lift equipment weighing up to 40 pounds.
+ Ability to work after hours and weekends as needed.
+ Advanced understanding of Active Directory and establishing sites and services and related GPOs with little oversight and adherence to system policies.
+ Familiarity with Cisco Call Manager and its integration into an environment.
+ Strong background in VMWare, the establishment and support of server/workstation VM's and leveraging capability to drive towards cloud-based computing.
**Preferred Qualifications**
+ Experience operating under and managing systems within NISPOM Chapter 8, DCID 6/3-ICD 503, RMF, JAFAN, or JSIG information system environments.
+ Experience installing, configuring, and maintaining computer hardware in a networked environment
+ Server management- VM Ware, Cloud Computing, Backup Management/DR Plan development
+ Currently valid Security+ CE, CASP, CISSP or similar security certification.
+ Understand basic networking, including subnets, routing and VLANs; Cisco experience and/or certification
+ Windows domain architecture experience.
+ Windows Active Directory, LDAP, VMWare, and knowledge of SAN storage systems.
+ Linux Operating System experience and/or certification for current versions.
+ VMWare experience with current version.
+ Knowledge of environmental monitoring tools (HBSS/Solar Winds/Splunk).
+ Creation and deployment of system images in an enterprise environment.
+ Experience with Certification & Accreditation process.
+ DoD 8570 Certification in a primary OS (Windows, Linux, Cisco).
+ Current Top Secret/SCI Clearance.
**Salary Range:** $120,900 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Vandenberg AFB) Sentinel Construction Engineer (Field Ops) (3906-1)2024-03-13T06:15:39-04:00https://northropgrumman.jobs/0DCFC6575C8347B685F869463335D1D526At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking an **Associate Construction Engineer or Co nstruction Engineer** . Th is position is located in **V andenberg Space F orce Base , CA** and supports the Sentinel program .
**What You'll Get To Do:**
The Construction Engineer will have a basic understanding of construction subcontracts, cost estimating, scheduling, safety, and quality . Daily tasks include but are not limited to:
+ Effectively process subcontractor submittals in accordance with both the Federal Acquisition Regulations (FAR), Project Specifications, and the Sentinel Infrastructure protocols.
+ Work with our subcontractors to ensure proper submittals are received.
+ Participate in the assembly of the required Preconstuction Conference submittals and bring to completion and approval.
+ Support the project team as required during the construction and close out phase.
+ Interface with customer representative as required .
+ Encourage, lead, and/or participate in staff training and development .
**Position Benefits:**
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
- Medical, Dental & Vision coverage
- 401k
- Educational Assistance
- Life Insurance
- Employee Assistance Programs & Work/Life Solutions
- Paid Time Off
- Health & Wellness Resources
- Employee Discounts
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. This role may offer a competitive relocation assistance package.
Job Qualifications:
**You'll Bring These Qualifications:**
+ **Associate Construction Engineer** : Bachelor Degree of Science in Engineering, Architecture, or Construction Management with 1 year minimum experience; or 4 additional years of experience in lieu of Bachelor's Degree .
+ **Construction Engineer** : Bachelor's Degree of Science in Engineering, Architecture, or Construction management with 2 year's minimum experience; or 4 additional years of experience in lieu of Bachelor's Degree .
**Required for Both Levels:**
+ U.S. Citizenship and ability to obtain a DoD Secret Security Clearance and Special Access Program (SAP)
+ Document ed training and field experience on commercial construction projects.
**These Qualifications Would be Nice to Have:**
+ Experience in large scale construction projects preferably on Department of Defense and/or missile contracts.
+ Experience working with the United States Army Corp of Engineers (USACE)
**Salary Range:** $79,300 - $118,900
**Salary Range 2:** $65,600 - $98,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Lemoore) CFT - F-35 Aircraft Electrician 3/4 (SkillBridge)2024-03-13T06:15:39-04:00https://northropgrumman.jobs/ACB2BB56071E440793ECE6238D0811FD26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identifies as veterans, and more than 1,600 are reservists. The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last **6 months of service** , for up to **180 days** . The Northrop Grumman Military Internship Program is open to all ranks and experience levels. SkillBridge participants are **not eligible for compensation** from Northrop Grumman, as they continue to receive military compensation and benefits as **Active-duty service members** .
Responsibilities for this internship position are:
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for Skillbridge . During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives , and Outcomes for the program.
Goals - Provide transitioning service members fellowship-style job skills training during the last portion (s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
Objectives - Service Members who complete the Intern program will be highly trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
Outcome - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**DoD SkillBridge Eligibility:**
- Has served at least 180 days on active duty
- Is within 12 months of separation or retirement
- Will receive an honorable discharge
- Has taken any service TAPS/TGPS
- Has attended or participated in an ethics brief within the last 12 months
- Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Are you ready to put your experience to work at Northrop Grumman? If so, our Defense Systems F-35 Sustainment HPSI Operating Unit, wants YOU to join our Team as an **Aircraft Electrician 3** "or" **4** and join our team of qualified, diverse individuals. You will be assigned as an **Aircraft Electrician** supporting F-35 organizational maintenance at **Lemoore, Ca.** Your Mission will be to support O-Level maintenance on F-35.
**Your duties and responsibilities include (but are not limited to)** :
+ Performing all duties required to lay out, fabricate, and install electrical wiring or coaxial cable on aircraft. Check, test, and troubleshoot electrical systems for conformance to specifications and performs repair, modification, removal, and reinstallation of wiring as necessary.
+ Cut wire to length, strip insulation from wire ends, and insulate connections with plastic sleeves. Attach wire or complicated electrical systems to fixtures and accessories such as transformers, instrument panels, and switchboards with fasteners such as bolts, screws, or solder. May monitor and verify quality in accordance with statistical process or other control procedures.
+ Reads and interprets engineering drawings, technical manuals, repair manuals, understanding symbols, flags, and general notes.
+ Identifies aircraft locations by station, butt-line, and waterline as shown on engineering documentation and technical orders.
+ Utilizes precision measuring instruments.
+ Troubleshoots, repairs and services aircraft systems. Conducts diagnosis of malfunctions.
+ Performs maintenance, disassembly, rework, repair, replacement, re-assembly or adjustment of various aircraft systems in accordance with technical specifications, engineering instructions, and FAA regulations.
+ Performs or coordinates component-level testing for specification compliance after applications have been completed.
+ Verifies application/condition of installed LO materials for conformity to specifications and determines material required to perform repairs.
+ Removes, takes corrective action and makes reinstallations for design and modification changes. Sets up and operates various types of material testers and mixers.
+ Prepares composite or metallic surfaces for coating applications by performing various operations such as sanding, masking and other preparation tasks. Mixes and applies sealants, gap fillers and any other required processes prior to paint which may require the use of heating devices. Mixes and applies paints and other coatings at the proper spraying consistency and brush/roller application. May perform similar duties in aircraft build, modification or maintenance role.
+ Other Maintenance, Qualifications or general duties as assigned.
***** You Will perform duties as part of a Contract Field Team (CFT) responsible for depot level modifications performed at customer locations. As part of the CFT, members are expected to assist in other technical disciplines as requested by team leads or management.**
**Your maintenance tasks include (but are not limited to)**
+ Maintenance tasks include configuring aircraft for modifications, removal and installation of attaching hardware, component removal and installations, assistance with software uploads, various system operational checkouts, and egress system maintenance.
+ Technical requirements also include fault isolation, troubleshooting, and repair of system failures detected during operational checks associated with maintenance and restoration of aircraft to flying condition subsequent to accomplishment of modifications and maintenance.
+ Interprets and uses various test equipment and works from wiring diagrams, engineering drawings, job guides, and maintenance manuals.
+ Must obtain and maintain qualifications as directed by supervisor.
**Basic Qualifications :**
Level 3 : High School Diploma or GED with a minimum of 4 Years of aircraft airframe/powerplants and/or crew chief experience.
+ **Must have an Active Secret Clearance or higher.**
+ **US Citizenship required.**
+ **Must be able to obtain Special Access Program clearance, as required .**
+ Air Force 5 or 7 Level, US Navy or Marine Corps CDI, or equivalent Airframe and Power Plant (A&P).
+ Ability to read and interpret technical data.
+ Must be able to successfully complete and pass government required F-35 trainings (Advanced Technical Training and/or Cadre Course) for Continued Employment.
+ Must be willing to provide temporary support to our other CFT locations at NAS Fallon, MCAS Miramar, MCAS Cherry Point, MCAS Yuma, or other sites should the need arise.
+ Able to meet the physical demands of this position, including: regularly required to lift up to 50 pounds and perform repetitive movements, work overhead, use hands to grasp, handle, and/or feel, reach. The employee is frequently required to stand, walk, stoop, kneel, squat, crawl, and twist.
+ Meets DoD SkillBridge qualifications as per DODI 1322.29
Level 4 : High School Diploma or GED with a minimum of 6 Years of aircraft airframe/powerplants and/or crew chief experience.
+ **Must have an Active Secret Clearance or higher.**
+ **US Citizenship required.**
+ **Must be able to obtain Special Access Program clearance, as required .**
+ Air Force 5 or 7 Level, US Navy or Marine Corps CDI, or equivalent Airframe and Power Plant (A&P).
+ Ability to read and interpret technical data.
+ Must be able to successfully complete and pass government required F-35 trainings (Advanced Technical Training and/or Cadre Course) for Continued Employment.
+ Must be willing to provide temporary support to our other CFT locations at NAS Fallon, MCAS Miramar, MCAS Cherry Point, MCAS Yuma, or other sites should the need arise.
+ Able to meet the physical demands of this position, including: regularly required to lift up to 50 pounds and perform repetitive movements, work overhead, use hands to grasp, handle, and/or feel, reach. The employee is frequently required to stand, walk, stoop, kneel, squat, crawl, and twist.
+ Meets DoD SkillBridge qualifications as per DODI 1322.29
**Preferred Qualifications :**
+ F-35 or F-22 maintenance experience.
+ 5th Gen Fighter airframes/sheet metal experience.
+ DoD Intermediate level Low Observables, or commercial/industry equivalent.
+ DoD Intermediate level sheet metal/structures/airframes experience, or commercial/industry equivalent.
+ Heavy mods or aircraft reset experience.
**Salary Range:** $55,100 - $109,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Aurora) Principal RF Systems Engineer/Sr. Principal RF Systems Engineer2024-03-13T06:15:37-04:00https://northropgrumman.jobs/6760D71965E74B8C8D0BF1F4E70537F726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
Northrop Grumman Space Systems (NGSP) is seeking an experienced **Principal RF Systems Engineer/Sr. Principal RF Systems Engineer** for their **Aurora, CO** site **.**
**What you'll get to do?**
We have an opening for an algorithm-oriented Systems Engineer to support algorithm development, testing, and evaluation of a new physics-based machine learning initiative on the Aurora campus. The selected candidate will be part of a fast-paced, highly technical team assisting program leadership and the customer in the design and development of a system to automatically calibrate radio frequency (RF) systems using a combination of physical knowledge and large data sets. The ideal candidate will have experience with some combination of electromagnetism, RF apertures, regression mathematics.
This requisition may be filed at a higher job grade based on the qualifications listed below.
This requisition may be filled as either a Principal or Sr. Principal Systems Engineer.
**Basic Qualifications for Principal Systems Engineer:**
+ 5 years of relevant experience with a Bachelor of Science degree in a STEM discipline; 3 years of relevant experience with a Masters' degree; 0 years of relevant experience with a PhD
+ Working knowledge of systems engineering principles and processes
+ Background in Machine Learning.
+ Demonstrated experience quickly learning new concepts and approaches.
+ Top Secret / SCI; willing to submit to a polygraph.
**Basic Qualifications for Sr. Principal Systems Engineer:**
+ 9 years of relevant experience with a Bachelor of Science degree in a STEM discipline; 7 years of relevant experience with a Masters' degree; 4 years of relevant experience with a PhD
+ Working knowledge of systems engineering principles and processes
+ Background in Machine Learning.
+ Demonstrated experience quickly learning new concepts and approaches.
+ Top Secret / SCI; willing to submit to a polygraph.
**Preferred Qualifications** :
+ Advanced degree (MS/PhD) in EE, Physics, or Math
+ Experience with physics-based and/or Digital Signal Processing Simulators.
+ Experience with Machine Learning
+ Excellent technical writing and team building skills.
**Salary Range:** $102,400 - $153,600
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-NY-Rome) Systems/Cloud Engineer in support of DAF CLOUDworks2024-03-13T06:15:37-04:00https://northropgrumman.jobs/B291238136604F4B9D4A80AB62F5228026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems (NGDS) is seeking a Systems/ Cloud Engineer to maintain and enhance capabilities in support of DAF CLOUDworks at the Air Force Research Lab (AFRL) in Rome, NY.
You will be an active part of a team providing technical support of customers leveraging DAF CLOUDworks. Successful candidates should have a track record as an effective communicator and problem solver who is able to develop and maintain good working relationships with internal and external stakeholders.
This position may be filled at a higher level based on the qualifications below.
**Basic Qualifications for a Principal Systems Engineer:**
+ One of the following:
+ A bachelor's degree in a STEM related field with 5 years of experience
+ A master's degree in a STEM related field with 3 years of experience
+ A PhD with 0 years of experience
+ An active Secret clearance with the ability to obtain a Top Secret clearance with Sensitive Compartmented Information (TS/SCI)
+ Ability to work on-site at a customer facility 2-3 days/week
+ Experience with Agile methodologies
+ Knowledge of Cloud-based technologies
+ Experience with DevOps pipeline development and sustainment
+ Ability to obtain CompTIA Security+ in a timely manner
**Basic Qualifications for a Sr. Principal Systems Engineer:**
+ One of the following:
+ A bachelor's degree in a STEM related field with 9 years of experience
+ A master's degree in a STEM related field with 7 years of experience
+ A PhD with 4 years of experience
+ A Secret clearance with the ability to obtain a TS/SCI clearance
+ Ability to work on-site at a customer facility 2-3 days/week
+ Experience with Agile methodologies
+ Knowledge of Cloud-based technologies
+ Experience with DevOps pipeline development and sustainment
+ Ability to obtain CompTIA Security+ in a timely manner
**Preferred Qualifications:**
+ TS clearance with SCI eligibility
+ Experience with implementation of Amazon Web Services (AWS) or Microsoft Azure
+ Cloud Certification(s)
+ CompTIA Security+ Familiarity with Platform as a Service (PaaS) implementations
+ Experience with DevOps tools, such as:
+ GitLab
+ Nexus
+ Artifactory
+ Jenkins
+ SonarQube
+ Docker
+ Python
+ Ansible
This position description does not represent a current opening but may be used to identify candidates with skills and experience for positions within Northrop Grumman that frequently become available. Candidates who express an interest may be considered for future positions at Northrop Grumman.
**Salary Range:** $97,500 - $146,300
**Salary Range 2:** $121,000 - $181,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) U107 Janitor B22024-03-13T06:15:36-04:00https://northropgrumman.jobs/150A4175432E487C8FA426461C6715D226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Primary Function:**
Perform a variety of janitorial duties such as sweep, strip, seal, wax and polish floors, collect refuse, clean washrooms, air ducts, venetian blinds, wash light fixtures and wax desks.
**Tools and Equipment:**
Such as electric floor polisher, brooms, mops, dust pan, hand truck, ladders, buckets, brushes, power cleaning equipment, etc.; required safety equipment.
**Material:**
Such as cleaning solutions, floor polish, floor seal, floor stripping material, paper towels, wax, soap, etc.
**Working Procedure:**
The following are the usual job duties but this job description does not preclude the performance of other duties by the incumbent, nor the question being raised on the proper rate of pay for the assignment.
1. Obtain job assignment from supervision, and such information as one or more of the following: written and/or oral instructions. Obtain necessary tools and material required to perform assigned duties. Verify information and report discrepancies to supervision.
2. Sweep designated areas and deposit refuse in cans. Move furniture to sweep areas as necessary. Collect refuse with hand truck and place in emulsifying unit for destruction.
3. Clean washrooms; replenish towels, soap and the like.
4. Clean, strip, seal, wax and polish floors.
5. Wash windows, walls and light fixtures; clean air ducts. Wax desks
6. Work from scaffolding and ladders as required.
7. Maintain equipment and work area in a clean and orderly condition.
**This is an IUE Represented position.**
**This is a 2nd Shift position.**
**Basic Qualifications:**
+ US Citizenship required
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) FACILITIES MAINTENANCE MANAGER 22024-03-13T06:15:36-04:00https://northropgrumman.jobs/5A7BA568CDE04F4586EAC8938A5F8B2626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Northrop Grumman Mission Systems has an opening for a **Facilities Maintenance Manager 2** to join our team of qualified, diverse individuals. This position will be located in Linthicum, MD.
**Essential Functions:**
+ Lead and manage work related to construct, maintain, and repair utilities systems, buildings, and infrastructure and equipment via the following trades: plumbing, air-conditioning, heating, HVAC mechanics, equipment controls programming (BMS - Building management system), remote monitoring systems and/or general maintenance. Manage and identify budgetary requirements to execute reoccurring services as well as one-time services to complete repairs.
+ Utilizes Maintenance Connection computerized maintenance management system to schedule, track and report on infrastructure maintenance, service requests/work orders and trouble calls.
+ Sets priorities and performs forecasting and allocates the resources needed to complete all preventive and corrective maintenance tasks.
+ Use building automation system to alert Facilities of utility emergencies, out of tolerance conditions and proactive responses of site systems.
+ Assignments are received in objective oriented terms. Provides guidance to subordinates based on organizational goals and company policy. Work is reviewed in terms of meeting the organizations objectives and schedules.
+ Advanced level of understanding in a specialized field or general understanding of several diverse disciplines. Involves a broad grasp of involved practices and procedures.
+ Regularly requires analysis of alternative courses of action. Decisions have risk/reward implications for program or area of influence.
+ Responsible for all projects assigned to the organizational group. Advisor to exempt employees. Helps meet established schedules or resolve technical or operational problems. Typically accomplishes results through lower level(s) of management or exempt employees.
+ Develops and administers budgets and standards of performance.
+ Influences & impacts strategy through his/her role
+ Responsible for all projects assigned to the organizational unit. Develop and administers budgets, schedules, and performance standards.
+ Exerts influence in the development of overall objectives and long-range goals of the organization. Erroneous decisions or recommendations would normally result in critical delays and modifications to projects or operations; cause substantial expenditure of additional time, human resources, and funds; jeopardize future business activity.
+ Frequent contact with equivalent level managers and customer representatives concerning projects, operational decisions, scheduling requirements, or contractual clarifications. Conducts briefings and technical meetings for internal and external representatives.
The selected candidate should thrive in a fast-paced work environment and must have the ability to multi-task and manage a number of competing priorities at the same time. The selected candidate must also enjoy working in an environment with high expectations, significantly diverse tasks, and a collaborative/team setting across all levels of employees and all levels of management.
**Basic Qualifications:**
+ Bachelor's Degree with 9 years of Facilities Maintenance experience OR a Master's Degree with 7 years of stated experience OR a High School Diploma/GED with 13 years of related experience in lieu of a degree
+ Experience leading or managing teams/projects
+ Ability to obtain and maintain a Top-Secret Clearance / SCI
+ Familiar with establishing and tracking performance metrics.
+ Excellent interpersonal communication skills
+ Familiar with Microsoft Office Outlook, Word, PowerPoint, Excel
+ Experience managing teams and achieving organization objectives, goals and metrics.
+ Ability to comprehend and analyze complex problems.
+ Experience working in a mission critical facility environment.
**Preferred Qualifications:**
+ Bachelor's Degree
+ 10 years of leadership experience working operational or facilities maintenance management role
+ Familiar with manufacturing facilities infrastructure
+ Experience using maintenance tracking programs and/or other maintenance management systems.
**Salary Range:** $108,900 - $163,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Industrial Security Analyst (12597)2024-03-13T06:15:35-04:00https://northropgrumman.jobs/5C14D46387C84B21A4C376B9CBD4DD8726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is seeking an **Industrial Security Analyst** to work in our **Roy, UT** location. The ISA will be primarily responsible to perform the responsibilities as the Security Education, Training, and Awareness (SETA) for the Strategic Deterrent Systems Division as they deliver Security Education, prepare security compliance presentations, conduct security briefings, prepare security metrics, prepare security education awareness communications.
The responsibilities include but are not limited to the following:
+ Conducting Briefings.
+ Prepare education and awareness material.
+ Lead Security Awareness Month activities.
+ Prepare and maintain metrics.
+ Ability to excel in a fast-paced deadline-driven environment.
+ Self-starter with minimal supervision.
+ Exceptional customer service skills.
+ Ability to problem solve and apply critical thinking.
+ Strong organizational skills.
+ Ensure compliance with government and corporate security policies/procedures.
**Basic Qualifications** :
+ Bachelor's degree and three years of related security experience. In lieu of a degree, an additional four years of related security experience may be considered.
+ Candidate must be a US Citizen and have a U.S. Government **Top Secret** clearance and the ability to maintain a U.S. Government clearance.
+ Organized and efficient at time management.
+ Computer and desktop Microsoft office software products experience.
+ Must be detail-oriented, have meticulous record-keeping, and will be responsible for accurate data input into multiple databases/spreadsheets.
**Preferred Qualifications** :
+ Self-starter with minimal supervision.
+ Familiarity with 32 CFR Part 117 (NISPOM) and DoD 5205.07 manuals.
+ Ability to excel in a fast-paced deadline-driven environment.
+ Familiarity with Security Information Management System (SIMs).
+ Meticulous record-keeping and responsible for accurate data input into multiple databases/spreadsheets.
+ Excellent communication skills, speaking, writing skills, and organizational skills enabling effective communications.
**Salary Range:** $62,200 - $93,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Apopka) Receiving Inspector 32024-03-13T06:15:35-04:00https://northropgrumman.jobs/5CA9EC5DA75444A5950F7D32067B5C9026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a talented Receiving Inspector to join our team in Apopka, Florida.
The Receiving Inspector inspects purchased parts and materials for conformity to standards, specifications, and processing requirements. Inspects for proper identity and dimensions using such measuring devices as micrometers, gauges, and calipers. Visually inspect for obvious defects or damage such as corrosion, cracks, dents, scratches, and pits. Verifies specifications using purchase orders, blueprints, drawing or inspection instructions, and checklists. Makes pass/fail decisions on inspected goods. Maintains records of results. Inspections may involve compound angles or three-dimensional projections using inspection equipment such as microscopes, micrometers, telescope gauges, and optical comparators.
**Basic Qualifications:**
+ High School Diploma and 4+ years of experience in assembly, machining, mechanical inspection, or other equivalent experience: or an associate degree in mechanical engineering, Machining, or Manufacturing with 3+ years of relevant experience.
+ Possess a strong work ethic.
+ Ability to pay continuous attention to detailed operations.
+ Able to collaborate in a team environment.
+ Ability to prioritize and adjust tasks to accomplish the project results.
+ Ability to make decisions that have an impact on the organization's credibility, operations, and services.
+ Ability to communicate over ambient noise level.
+ U.S citizenship with the ability to obtain and maintain a U.S. Department of Defense (DoD) government security clearance.
**Preferred Qualifications:**
+ AS/BS degree or higher
+ Six or more years of experience in manufacturing
+ Strong knowledge of Microsoft Office (Word, Excel, etc.)
+ Strong understanding of GD&T standards and their application
+ CMM programming using PC-DMIS or equivalent software package.
+ IPC 610 Certification
+ IPC 620 Certification
+ Certifications in Nondestructive Testing (Visual weld inspection)
+ Experience with CAD/CAM and 3D Modeling software.
MAQEA
**Salary Range:** $49,900 - $83,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) U109 ENGINEERING TECH C - 1st Shift2024-03-13T06:15:35-04:00https://northropgrumman.jobs/82E5E875F59441119ACF7C59F839F71426At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is seeking an **Engineering Technician C** to join its Advanced Technology Laboratory (ATL) Laboratory and Logistics Support team. This position is located in **Linthicum, MD** supporting a cryogenic research and development test laboratory.
The Engineering Technician C will construct, test and troubleshoot a variety of electro-mechanical-optical circuits and equipment under the guidance, direction and supervision of higher classified personnel.
1. Construct, wire, bond, test and modify breadboard circuitry, micro circuit equipment and the like, where basic electronic knowledge is required in the location of components and devices for proper functioning of unit, working from detailed instructions such as sketches, schematics, string list and oral instructions. Make elementary layout of units, planning location of components and devices required in unit. Close guidance received on more critical units.
2. Obtain material, determining required components such as resistors, capacitors, transistors, printed circuits and integrated circuits, wire/bond from sketches and verbal instructions. Select components of proper value and quality in relation to unit being constructed. Make proper substitution for unavailable common components.
3. Perform laboratory tests and measurement in electro-mechanical-optical equipment selecting proper instrumentation such as: Oscilloscopes, voltmeters, ammeters, and the like where some interpretation of test data may be required in terms of reliability.
4. Troubleshoot component items and simple interrelated circuits, selecting proper test instrumentation, which requires basic knowledge of the electro-mechanical laws involved in the functioning of the equipment.
5. Make calculations required in the summarization of data per detailed instructions, using algebraic formulae.
6. Prepare and submit test results and reports to higher classified personnel as required.
**This is an SEA union represented position.**
**This is a 1st shift position.**
**EDUCATION REQUIREMENT:**
High school graduate or recognized equivalent.
Must possess an Associates Degree (minimum 60 semester hours) or two years (minimum 60 semester hours) of college level study with a major in Electronics or a minimum of six months military electronic school plus two years working experience in the maintenance and repair of electro-mechanical equipment.
**EXPERIENCE REQUIREMENT:**
Must have knowledge of electronic principles, the ability to wire and assemble circuits and understand the operation of basic test equipment.
**PREFERRED QUALIFICATIONS:**
- Active Top Secret clearance.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Vandenberg AFB) Principal Industrial Security Analyst (Level 3) or Senior Principal Industrial Security Analyst (Level 4)2024-03-13T06:15:35-04:00https://northropgrumman.jobs/9C19C7FF6A0E4E1F97EA70983410123626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is seeing a **Principal Industrial Security Analyst (Level 3) or Senior Principal Industrial Security Analyst (Level 4)** for **Vandenberg Space Force Base (VSFB)** Launch activities. This position will be located in the VSFB area.
The responsibilities will include but will not necessarily be limited to the following:
+ Ability to coordinate team functions in a geographically distributed team working in dynamic environments.
+ Supports multiple programmatic activities in addressing all security and program related requirements across the spectrum of security disciplines including physical, information, personnel, operations security (OPSEC) and launch integration security.
+ Understands critical program information (CPI), ranging from CUI through the highest level of security classification (TS/SCI).
+ Thoroughly familiar with the NISPOM, ICD's and various classification guides.
+ Understands, implements, and enforces comprehensive industrial security plans that provide protection for the movement, transportation and launch integration of classified/unclassified hardware (to include both Space Craft and Launch Vehicles).
+ Travel maybe required.
**Basic Qualifications (Level 3)** :
+ Must be a US citizen and have and be able to maintain a current, active SSBI **Top Secret/SCI** clearance.
+ 6 years of related experience with Bachelor's degree. May consider four years of additional of experience in lieu of degree.
+ Experience implementing and enforcing comprehensive industrial security plans that provide protection for the movement, transportation and launch integration of classified/unclassified hardware (to include both Space Craft and Launch Vehicles).
+ Strong working knowledge of basic office automation tool suites such as MS Office (Word, Excel, PowerPoint).
+ Excellent communication, speaking and writing and organizational skills.
**Basic Qualifications (Level 4)** :
+ Must be a US citizen and have and be able to maintain a current, active SSBI **Top Secret/SCI** clearance.
+ 10 years of related experience with Bachelor's degree. May consider four years of additional of experience in lieu of degree.
+ Experience implementing and enforcing comprehensive industrial security plans that provide protection for the movement, transportation and launch integration of classified/unclassified hardware (to include both Space Craft and Launch Vehicles).
+ Strong working knowledge of basic office automation tool suites such as MS Office (Word, Excel, PowerPoint).
+ Excellent communication, speaking and writing and organizational skills.
**Preferred Qualifications:**
+ Previous SAP experience.
+ Completion of OSL Launch Security training program.
+ Ability to work independently and follow projects through to completion.
+ Ability to maintain flexibility to deal with changing priorities and deadlines.
+ Excellent customer service and communication skills.
**Salary Range:** $88,700 - $133,100
**Salary Range 2:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-PA-Philadelphia) Principal / Senior Principal Program Cost Control Analyst2024-03-13T06:15:35-04:00https://northropgrumman.jobs/A4595713266C4F6181B10A780547AB3B26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
We're looking for you to join our team as a **Principal Program Cost Control Analyst or a Senior Principal Program Cost Control Analyst** based out of **Philadelphia, PA (Kitty Hawk, Naval Shipyard).** This position may be staffed as a hybrid role from the Philadelphia, PA area. This position offers the 9/80 schedule. Full-Time telework may be considered for this role.
**What You'll Get to Do**
The **Principal or Senior Principal Program Cost Control Analyst (PCA)** will be responsible to grow, develop and lead a team of junior PCAs and manage the newest EVMS Level 03 programs within the COLUMBIA Integrated Power Systems (CLB IPS) Operating Unit in Marine Systems reporting to the site Business Manager. This position is considered a **Lead PCA** role and the candidate will support the Business Manager in growing and mentoring the team of PCAs as well as developing processes and procedures for the team.
The **PCA** will be the focal point for the program area and will interface with the program managers, functional management, cost account managers (CAMs) and business managers to provide financial support and analysis to meet program requirements. They will will prepare budgets and schedules for contract work and assist in financial analyses such as funding profiles, sales outlook, cash forecasting, and variance analysis.
The **PCA** will monitor costs and schedules on contracts requiring validated cost schedule control system. This will include performing perform Earned Value Metric (EVM) tasks such as Work Breakdown Structure (WBS) development, budget baseline, cost risk analysis/ assessment and visibility reports, development, and analysis of estimates to complete.
The **PCA** will perform analysis and prepare reports in order to ensure that contracts are within negotiated and agreed-upon parameters and government cost control guidelines, including maintaining accurate records of expenditures, directing preparation of expenditure projections, and submitting timely requests for additional funding to the government.
The **PCA** will support audits and reviews as requested by programs, customers, and company management, support Annual Operating Plan, Resource Plan, and Long Range Strategic Plan activity, and prepare financial inputs to internal reports and presentations (IPRS, Dashboards, BARs, Etc.)
**This requisition may be filled as a Principal Program Cost Control Analyst or a Senior Principal Program Cost Control Analyst.**
**Basic Qualifications for a Principal Program Cost Control Analyst**
+ Bachelor's degree with 6 years of related professional experience - OR - a Master's degree with 4 years of related professional experience
+ Will consider 10 years of applied experience in lieu of degree requirement.
+ Experience with Earned Value and performance measurement baseline concepts and ability to apply them to multiple contracts, CLINs and control accounts.
+ Experience with financial systems including cost performance reporting and analysis
+ Strong PC skills - specifically Excel proficiency (including pivot tables, Vlookup, and conditional formatting)
+ Ability to travel domestically 10% of the time.
**Basic Qualifications for a Senior Principal Program Cost Control Analyst**
+ Bachelor's degree with 10 years of related professional experience - OR - a Master's degree with 8 years of related professional experience
+ Will consider 14 years of applied experience in lieu of degree requirement.
+ Experience with Earned Value and performance measurement baseline concepts and ability to apply them to multiple contracts, CLINs and control accounts.
+ Experience with financial systems including cost performance reporting and analysis
+ Strong PC skills - specifically Excel proficiency (including pivot tables, Vlookup, and conditional formatting)
+ Ability to travel domestically 10% of the time.
+ US Citizenship is required
**Preferred Qualifications:**
+ Degree in Finance, Accounting, or Business Administration or related field
+ Experience with COBRA, COGNOS, SAP
**What We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $80,600 - $133,100
**Salary Range 2:** $99,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-LA-Shreveport) Business Development Manager 3 (TS/SCI)121672024-03-13T06:15:34-04:00https://northropgrumman.jobs/0181D3A5D366489C87D440737080D84426At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space has an opening for a **Business Development Manager 3** to lead business development operations efforts for the complex Strategic Deterrent Systems (SDS) portfolio of ongoing programs and growth opportunities. This position is a highly visible opportunity reporting to the Strategy, Business & Corporate Development (SB&CD) Business Development Director. The job is located at the Northrop Grumman campus in **Shreveport, LA** . This critical leadership role shall contribute to long-term division growth for all customer-focused USG/Allied domestic and international markets. The selected candidate will be responsible for leading day-to-day operations for SB&CD and will work closely with executives including the three SDS business units including their Vice Presidents, and Corporate business development resources, the SDS Executive Leadership Team, and the SDS Corporate Vice President / General Manager. The successful candidate will be an action-oriented, collaborative, and detailed-oriented self-starter who demonstrates the ability to successfully leverage and apply applicable experience in operations and project management to this dynamic and evolving role.
**Primary Roles and Responsibilities Include:**
+ Directs day-to-day operations to protect, create and sustain profitable growth objectives for SDS annual operating plan, pipeline management and analysis, and the Long Range Strategic Plan
+ Coordinates across three large business units, divisions, sector, and corporate teams to manage enterprise and division priority wins through the capture review process
+ Guides and helps direct SDS Business Acquisition Process (BAP) management with close collaboration with SDS business units
+ Leads coordination and development of recurring business development and customer engagement reporting
+ Manages SDS Business Development Tradeshows, Conferences, Major Events and Training Curriculum
+ Supports engagement executive leads at Division, Sector, and Corporate to strengthening relationships
+ Coordinates and collaborates with line of business management, strategy, business and finance, contracts and global supply chain, technology and engineering offices and functions
+ Communicates, collaborates, and coordinates with Government Relations / Legislative Affairs
**Basic Qualifications:**
+ 10+ years of experience providing business, program, and/or operational support to Department of Defense (DoD) customer programs, or initiatives
+ Experience within DoD acquisition, requirements, and budget policies and processes
+ Program management and Air Force Planning Programming Budgeting Execution (PPBE) experience
+ Experience in leading the formulation of strategic planning while protecting and growing business opportunities within ICBM nuclear enterprise
+ Experience in the Department of Defense Joint Capabilities Integration and Development System and Analysis of Alternatives Process
+ Business development, strategy, capture, or program management experience
+ Active DoD top secret clearance (TS/SCI eligible)
**Preferred Qualifications:**
+ 15+ years of experience directly supporting Intercontinental Ballistic Missile (ICBM) operations, flight test, maintenance, sustainment, security forces, helicopter, and support mission areas in USG service and Industry, with a minimal of 5 years' experience supporting Sentinel and ICBM-Sustainment activities
+ Working knowledge of U.S. Government procurement policies and regulations
+ ICBM experience at the Wing, Numbered Air Force and Major Command levels
+ Current/established relationships with United States Strategic Command, Headquarters Air Force A10, Air Force Global Strike Command, Air Force Nuclear Weapons Center, and the ICBM and Sentinel System Programs Offices
+ Experience developing presentations for Executive Leaders
+ Demonstrated communications skills and experienced briefer at the SES, General Officer and CEO level
+ Experience with NG Business Acquisition Process (BAP) and Salesforce Application
**Salary Range:** $141,900 - $212,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Suffolk) Principal Military Trainer / Senior Principal Military Trainer - Integrated Air and Missile Defense (IAMD)2024-03-13T06:15:34-04:00https://northropgrumman.jobs/6DBD51E33AE44B6D9ED9FBAFECD21CCC26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Put your skills to the test by pushing the boundaries of what's possible. From global defense to sustainment and modernization to mission readiness, your experience and ability will make it a reality. Our programs are built on equal parts of curiosity and collaboration. Our combined effort means our customers can connect and defend millions of people around the world. With Northrop Grumman, you'll have the opportunity to be an essential part of projects that will define your career, now and in the future.
Northrop Grumman Defense Systems sector (NGDS) is seeking a **Principal Military Trainer / Senior Principal Military Trainer - Integrated Air and Missile Defense (IAMD)** to join our growing team of diverse professionals in **Suffolk, Virginia** . The IAMD Military Trainer will support the Joint Staff Support Team in delivering collective joint training (CJT) to enhance the operational effectiveness of the current and future joint force. The Military Trainer will perform duties throughout the Joint Exercise Life Cycle (JELC) in coordination with supported military customers and CJT leads.
**Responsibilities:**
+ Leverages knowledge of capabilities, limitations, and associated BMD architectures of the Ground Based Missile Defense Patriot Weapon System, the Terminal High Altitude Area Defense (THAAD), and the Aegis Short Range Air Defense (SHORAD)
+ Serves as expert in the areas of Missile Defense watch floor procedures, Missile Defense sensors, and the four pillars of Integrated Air and Missile Defense (IAMD)
+ Knowledgeable of operations in an Air Operations Center (AOC), a Control and Reporting Center (CRC), a Maritime Operations Center (MOC), and a Joint Air Operations Center (JAOC)
+ Plans and designs BMD/IMD scenarios and Master Scenario Event List (MSEL) injects for exercises and for providing input to the Theater Missile Operations (TMO) Board and working groups such as the Theater Air and Missile Defense Working Group (AMDWG), the Air Working Group, and the Land Working Group
+ Replicates roles of a Theater Army Air and Missile Defense Coordinator (TAAMDCOORD), an Air Defense Artillery Fire Control Officer (ADAFCO), a Deputy Area Air Defense Commander (DAADC), a Regional Air Defense Commander (RADC)/Sector Air Defense Commanders (SADC), and other Missile Defense specific positions during exercises
**This position may be filled at either the Principal or Senior Principal level per the requirements below.**
**Basic Qualifications for Principal Military Trainer - Integrated Air and Missile Defense (IAMD):**
+ One of the following:
+ Bachelor's degree with a minimum of 6 years of joint operational experience
+ Master's degree with a minimum of 4 years of joint operational experience
+ A minimum of 3 years of experience on a 3-star or 4-star Joint or Service Headquarters staff or civilian equivalent
+ Must be knowledgeable about Ballistic Missile Defense (BMD) and Integrated Missile Defense (IMD) and the role they play in Joint Operations
+ Be familiar with the Joint Exercise Life Cycle (JELC), Universal Joint Task List (UJTL) and Master Scenario Event List (MSEL) processes
+ Thorough understanding of, and experience in, planning and executing IAMD at the operational level
+ Intermediate Service School graduate
+ Must be willing and able to travel both CONUS and OCONUS as needed (up to 25% of the time)
+ Must possess an active U.S. DoD Secret security clearance with ability to obtain a TS/SCI clearance once aboard
+ Must possess or be able to obtain a valid U.S. Passport
+ Must be able to work on-site in Suffolk, Virginia
**Basic Qualifications for Senior Principal Military Trainer - Integrated Air and Missile Defense (IAMD):**
+ One of the following:
+ Bachelor's degree with a minimum of 10 years of joint operational experience
+ Master's degree with a minimum of 8 years of joint operational experience
+ A minimum of 4 years of experience on a 3-star or 4-star Joint or Service Headquarters staff or civilian equivalent
+ Must be highly knowledgeable about Ballistic Missile Defense (BMD) and Integrated Missile Defense (IMD) and the role they play in Joint Operations
+ Be familiar with the Joint Exercise Life Cycle (JELC), Universal Joint Task List (UJTL) and Master Scenario Event List (MSEL) processes
+ Thorough understanding of, and experience in, planning and executing IAMD at the higher operational or strategic level
+ Senior Service School graduate
+ Must be willing and able to travel both CONUS and OCONUS as needed (up to 25% of the time)
+ Must possess an active U.S. DoD Secret security clearance with ability to obtain a TS/SCI clearance once aboard
+ Must possess or be able to obtain a valid U.S. Passport
+ Must be able to work on-site in Suffolk, Virginia
**Preferred Qualifications:**
+ Active U.S. DoD Top Secret/SCI security clearance
+ Joint Forces Staff College or PMEII graduate
+ Senior Service School graduate
+ Joint Staff or OSD experience; Operational experience working with General Officer (GO)/Flag Officer (FO)/Senior Executive Service (SES) leaders
+ Command tour at 0-5 or 0-6 level
+ Joint training or exercise experience
+ Experience with operational planning efforts at the operational and strategic levels
**Salary Range:** $73,300 - $109,900
**Salary Range 2:** $90,900 - $136,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Redondo Beach) Proposal Manager 2 (TS/SCI )2024-03-13T06:15:34-04:00https://northropgrumman.jobs/DAC34ADEC07E4F4CB8A95F41FE6DDE5F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
**Space Systems Sector:**
Space Systems Sector designs, develops, manufactures, and integrates spacecraft systems, subsystems, sensors, payloads, and ground systems to deliver mission capability to national security, science and environmental, communications, on-orbit servicing, and human-rated space systems for earth orbit and deep-space exploration missions.
Northrop Grumman Space Systems Business Development is seeking a full-time experienced **Proposal Manager 2** to join our **Sector** **Proposal Operations** team of qualified diverse individuals. This position is located in Redondo Beach, CA. The selected candidate must be able to work on site and be willing and able to travel, as needed (up to 25%). Active TS/SCI required to start.
As a Proposal Manager, you will apply proven proposal planning and execution strategies and processes to lead teams across the sector to develop successful, compliant, compelling, and on time proposal submissions. The proposals you will work on include top Sector Priority Wins and other major competitive pursuits. Candidates for this position will demonstrate a broad skill set that is balanced across leadership, collaboration, innovation, communication, project management, risk management, and proposal-based capabilities. Responsibilities include, but are not limited to:
+ Collaborate with the Capture Manager and core team on proposal execution approach, team staffing, and roles and responsibilities
+ Develop efficient and effective proposal execution plans in response to complex, competitive solicitations from U.S. Government customers
+ Lead collaborative, engaged teams to develop, review, and submit proposals that effectively represent our strategy and baseline while eliminating non-compliances and limiting weaknesses
+ Ensure adherence to schedule, and continually manage risk to address volatility in proposal requirements, deadlines, and our offering
+ Mentor, coach, and train Proposal Operations staff and proposal team members
**Basic Qualifications**
+ Bachelor's degree with 8 years of relevant experience (6 years with MA/MS)
+ 6 years working on competitive proposals for U.S. Government customers
+ 3 years in a Proposal Manager role
+ Proposal Manager experience leading competitive proposals of $50M in value or greater
+ Experience training, leading, and directing activities of team members through execution of a formal proposal process
+ Knowledge and understanding of the U.S. Government's competitive acquisition process including federal procurement regulations (FAR/DFAR)
+ Active TS/SCI security clearance
**Preferred Qualifications**
+ 8 years of experience working on competitive proposals for U.S. Government customers
+ 5 years of experience in a proposal management role
+ Experience leading competitive proposals of $100M in value or greater
+ Experience with U.S. space systems related customers and proposals
Northrop Grumman offers a competitive and robust benefits program. As a full-time employee of Northrop Grumman, you are eligible for:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
+ Flexible Schedules: For example the ability to work a 9/80 work schedule, which allows an employee to work a nine-hour day Monday through Thursday and take every other Friday off of work
For more details, please view Northrop Grumman Benefits .
**Salary Range:** $125,500 - $188,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-OK-Oklahoma City) PC Network Support Tech 3/4 - Secret2024-03-13T06:15:33-04:00https://northropgrumman.jobs/09BA124E42D3437D8ACB8115A4EF05BF26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman's Chief Information Office is seeking a motivated PC Network Support Technician in the Oklahoma City area ready to gain and apply enterprise-wide experience to ensure the security, reliability, and availability of multiple systems/platforms in support of our Nation's defense.
The selected candidate will be required to work on-site, full-time at our Oklahoma City, OK location. The individual will perform the following duties on a day-to-day basis in support of the program:
+ Providing technical support for Windows systems and associated networks.
+ Installing, troubleshooting, servicing, and repairing personal computers, PC applications, network cables and connectors.
+ Instructing and assisting users in the use of workstations and networks.
+ Installing, upgrading/patching, monitoring, problem resolution, and configuration management of systems.
+ Developing and documenting technical processes and procedures as needed.
+ Providing backup and recovery services; managing file systems and disk space; and managing virus protection on a routine basis.
+ Investigate information, network, and communications needs of users, and make recommendations regarding software and hardware purchases.
+ Coordinating actions with users, IT staff, server administrators, network engineers, and IT management.
+ Recommending and implementing system/process enhancements to improve performance and reliability of the system.
+ Reviewing trouble ticket queues to identify issues that need to be addressed.
+ Working through the ticket queue without intervening management direction (self-motivated).
+ Resolving tickets within established SLAs and raising issues that require management attention or involvement.
+ Establishing and maintaining high levels of customer satisfaction.
+ Participating in new installations, testing and special projects as needed.
+ Exhibiting good communication skills, an energetic approach, and patience with customers.
+ Lifting equipment weighing up to 40 pounds.
+ Ability to work after hours and weekends as needed.
Note: Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
This position may be filled at a higher level based on the qualifications listed below.
**Basic Qualifications for a Level 3:**
+ High school diploma or equivalent and 4 years of experience OR Associate's degree and 2 years of experience OR Bachelor's degree and 0 years of experience.
+ IAT Level II certification (ex. Security+ CE) or greater.
+ Active DoD Secret clearance.
+ Ability to obtain and maintain access to Special Programs as a condition of continued employment.
+ Experience in Microsoft Windows Desktop Operating Systems, Microsoft Office Product Suites, and remote deployment methods
+ Experience with technical standards, principles, theories, concepts and techniques.
+ Experience providing solutions to a variety of technical problems of increasing scope and complexity as assigned
**Basic Qualifications for a Level 4:**
+ High school diploma or equivalent and 4 years of experience OR Associate's degree and 2 years of experience OR Bachelor's degree and 0 years of experience
+ IAT Level II certification (ex. Security+ CE) or greater.
+ Active DoD Secret clearance.
+ Ability to obtain and maintain access to Special Programs as a condition of continued employment.
+ Experience in Microsoft Windows Desktop Operating Systems, Microsoft Office Product Suites, and remote deployment methods
+ Experience with technical standards, principles, theories, concepts and techniques.
+ Experience providing solutions to a variety of technical problems of increasing scope and complexity as assigned.
**Preferred Qualifications:**
+ Bachelor of Science or other technical based degree.
+ DoD Top Secret clearance.
+ Experience operating under and managing systems within NISPOM Chapter 8, DCID 6/3-ICD 503, RMF, STIG, JAFAN, or JSIG information system environments
+ Experience installing, configuring, and maintaining computer hardware in a networked enterprise environment
+ Networking experience, including subnets, routing and VLANs
+ Proficiency in remote administration and troubleshooting of desktop PCs
+ Experience using Microsoft Endpoint Configuration Manager/System Center Configuration Manager to manage enterprise systems, settings, and services
+ Experience using Powershell to manage enterprise systems, settings, and services
ESCSO
**Salary Range:** $47,300 - $78,800
**Salary Range 2:** $56,200 - $93,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Manhattan Beach) Staff DevSecOps Engineeer-8605-12024-03-13T06:15:32-04:00https://northropgrumman.jobs/5AEA2EA9EE61496CA0C57D230DEA937326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking Staff DevSecOps Engineer - 8605-1. This position will be located in Manhattan Beach, California, Roy, Utah; Bellevue, Nebraska or Huntsville, Alabama and will support the Sentinel program.
As a DevOps Engineer, you will have phenomenal learning opportunities, exposure to a wide variety of projects and customers, all within a collaborative, fast paced workplace. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our capability and become even better at what we do to develop the next generation of strategic deterrent capabilities for the nation.
As a DevOps engineer, you will drive unification and automation of processes to enable development of software capability across the entire Sentinel system. You will be instrumental in combining code, application maintenance, and application management. All these tasks rely on understanding not only development life cycles, but DevOps culture, and its philosophy, practices, and tools. You bring a curiosity and understanding of the development lifecycle goals and the transactions occurring within pipelines, scanning tools, deployments, and all steps of the development lifecycle. You will actively interface with DevOps and engineering teams to coordinate and optimize the steps that execute within the DevOps ecosystem and bring consistency and best practices in approach, tools, and standards. You will also create and maintain fully automated Continuous Integration (CI) build processes, which include writing builds and deployment scripts.
What you'll get to do:
-Provide automation configuration with tools like Ansible to automate build and configuration processes
-Develop Continuous Integration/Continuous Development (CI/CD) process and tools, deployment strategies
-Build and deploy containers and containerization technologies
- Enable and lead Agile management and collaboration, leveraging tools such as Jira, Confluence, and GitLab
- Learn new technologies and tools to enable rapid and secure, scalable capability
- Develop and maintain automation scripts in Python
Position Benefits:
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
Medical, Dental & Vision coverage
401k
Educational Assistance
Life Insurance
Employee Assistance Programs & Work/Life Solutions
Paid Time Off
Health & Wellness Resources
Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. This role may offer a competitive relocation assistance package.
Job Qualifications:
You'll Bring These Qualifications:
14 Years with Bachelors in Science; 12 Years with Masters; 09 Years with PhD or 4 additional years in lieu of a degree.
US Citizenship, ability to obtain and maintain DoD Secret clearance and ability to obtain Special Program Access (SAP).
Ability to write or review software code (Java, Python, etc.)
Familiarity with DevSecOps practices.
Qualifications Would be Nice to Have:
Familiarity with containerization and orchestration tools like Docker and Kubernetes.
Experience with Kubernetes, Docker, and/or other cloud orchestration technologies.
Experience with CI/CD best practices, automated builds and tests, quality gates, software quality, and CI tools, i.e., Jenkins.
Experience with configuration management tools, i.e., Git, GitHub, GitLab, Bitbucket, others.
Familiarity with branching strategies, gated commits, source-controlled management, etc.
Familiarity with the principle of DevSecOps.
Atlassian JIRA or other defect tracking tool experience.
Atlassian Confluence, GitLab/GitHub, Jenkins, and Nexus repository experience.
Familiarity with security coding standard best practices, static and dynamic scanning tools, i.e., SonarQube, Fortify, Coverity, PCLint, etc.
Programming and scripting experience in a UNIX environment (C++, Perl, Python, Bash, Ruby, Shell, Scripts).
Programming and scripting experience in a Windows environment
**Salary Range:** $165,000 - $247,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(GBR-London) Field Engineer or Principal Field Engineer (GUAM)2024-03-13T06:15:31-04:00https://northropgrumman.jobs/A53D0E82B30948C28D574DE87B3E44A326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is a trusted provider of mission-enabling solutions for global security. Our Engineering and Sciences (E&S) organization pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
We are looking for you to join our team as a **Field Engineer** or **Principal Field Engineer** based out of our overseas location in **Guam.**
**What You'll get to Do:**
+ Be part of The Triton Ground Segment Integrated Product Team (IPT) overseas. This is an exciting and dynamic opportunity for to work across a multi-disciplinary team in a fast pace hands on environment.
+ Be part of a deployment team and perform hands on technical and leadership tasks during ground system installation and integration activities.
+ Join a Field Engineering team supporting initial deployment, operations and maintenance of a complex airborne and terrestrial tactical communication system providing voice and data services in real world operations on the Triton program at various locations.
+ Work closely with several diverse Integrated Product Teams as well as provide guidance to manufacturing engineering, logistics and operations staff.
+ Quickly develop Triton ground segment system and process knowledge by working closely with the local design and production team.
+ Serve as the technical lead onsite during physical installs of ground segment systems for several months at a time. The environment consist of tightly controlled configuration managed system with attention to process definition. The onsite built up will consist of HW installation, Network configuration, Software deployment, customer audits and acceptance testing.
+ Work within a diverse team in a dynamic environment.
+ Work closely with the Military/Government customer as well as internal Northrop Grumman engineering teams.
+ Assist and develop proficiency with performance of other engineering and operational duties as required.
This position may be filled as a Field Engineer or Principal Field Engineer.
**Basic Qualifications Field Engineer:**
+ 4 years experience with an Associate's degree; 2 years with Bachelor's degree; 0 years with Master's; 4 years additional experience may be considered in lieu of a Bachelor's degree.
+ Experience with the repair, configuration, troubleshooting and operations of network devices and computer systems (Windows and Unix Operating Systems).
+ Must be able to use engineering drawings for troubleshooting and use standard desktop computer and Windows based tools to produce or edit documents including drawings, plans, procedures and reports.
+ Must be very well rounded and knowledgeable in SW installation procedures, commercial IT equipment troubleshooting, system level acceptance testing, and commercial electronics hardware.
+ Candidate must be willing to work extended hours to meet deadlines.
+ Must have the physical ability to easily maneuver around equipment in test labs and able to pass a pre-assignment medical examination IAW USCENTCOM INDIVIDUAL PROTECTION AND INDIVIDUAL/UNIT DEPLOYMENT POLICY requirements.
+ At minimum, must have an Active Top Secret Security Clearance to be able to start this position and the ability to obtain/maintain a Polygraph and/or Special Program Access.
**Basic Qualifications Principal Field Engineer :**
+ 7 years experience with an Associate's degree; 5 years with Bachelor's degree; 3 years with Master's; 4 years additional experience may be considered in lieu of a Bachelor's degree.
+ Experience with the repair, configuration, troubleshooting and operations of network devices and computer systems (Windows and Unix Operating Systems).
+ Must be able to use engineering drawings for troubleshooting and use standard desktop computer and Windows based tools to produce or edit documents including drawings, plans, procedures and reports.
+ Must be very well rounded and knowledgeable in SW installation procedures, commercial IT equipment troubleshooting, system level acceptance testing, and commercial electronics hardware.
+ Candidate must be willing to work extended hours to meet deadlines.
+ Must have the physical ability to easily maneuver around equipment in test labs and able to pass a pre-assignment medical examination IAW USCENTCOM INDIVIDUAL PROTECTION AND INDIVIDUAL/UNIT DEPLOYMENT POLICY requirements.
+ At minimum, must have an Active Top Secret Security Clearance to be able to start this position and the ability to obtain/maintain a Polygraph and/or Special Program Access.
**Preferred Qualifications:**
+ Comp TIA Security+ and A+ Certifications.
+ Red Hat, Linux+ or similar certification.
+ DoD 8570 Certification including a primary OS (Windows, Linux, Cisco).
+ Experience working in a diverse and complex HW and SW integration and deployment environment with an emphasis on configuration management and first time quality.
+ Experience working in several of the following engineering disciplines: Test Engineering, Field Engineering, Hardware Design, Manufacturing Engineering, Software Deployment, Cyber Security, and Network Engineering.
+ Experience with Microsoft Server, Windows domain architectures, Windows Active Directory, LDAP, VMWare, and knowledge of SAN storage systems, Red Hat Linux Operating System experience and/or certification for current versions
+ Knowledge of Windows management.
+ Knowledge of Windows LDAP, VMWare, and SAN storage systems.
+ Knowledge of Python and Powershell scripting.
+ Experience with Ansible.
+ Knowledge of environmental monitoring tools (HBSS/Solar Winds/Splunk.
+ Experience with creation and deployment of system images in an enterprise environment.
**Salary Range:** $65,500 - $98,300
**Salary Range 2:** $80,600 - $121,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MN-Plymouth) Non-Destructive Test Technician 52024-03-13T06:15:29-04:00https://northropgrumman.jobs/71C2D01886ED4BF9931CFD300C5FE5B226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman's, Armament Systems Business Unit** is looking for a Non-Destructive Test Technician 5 to join our team of dedicated, diverse individuals based out of our **Plymouth, MN** facility.
Armament Systems specializes in the development and production of Ammunition, Guns and Precision Guidance Ordinance.
**Responsibilities include:**
• Performs non-destructive tests (NDT) on parts and assemblies.
• Inspects metallic and nonmetallic materials, parts and assemblies for defects using automated and manual methods and techniques including radiography, ultrasonic, penetrant, eddy current, and magnetic particle.
• Interpret codes, standards & requirements concerning NDT methods.
• Train, qualify and certify Level 2 technicians.
• Conduct proficiency reviews.
• Maintain and improve NDT work instructions,
• Audit and approve outside agencies for NDT services.
• Audit NDT processes, records and certifications.
• Approve test processes and instructions.
• Issue resolutions and dispositions.
• Provide technical support and NDT consultation services to end users.
• Develop NDT equipment and fixtures per design requirements.
• Maintain documentation structure and record storage per process.
• Ensure safe practices are utilized and in compliance with industry standards, specifications, government regulations and internal preventive maintenance requirements.
Basic Qualifications Level:
• 6 years works experience directly related Radiography (RT) and Ultrasonic (UT)
• 3 years documented Level 3 certification/experience per NAS 410.
• Certification in Multiple Non-Destructive Test/Inspection (NDT) Disciplines
• Able to travel up to 25% of the time.
• This position requires the ability to obtain and maintain an active DOD Secret Clearance.
• Must be a US Citizen
• Able to pass an Eye Exam prior to start
**Preferred Qualifications:**
• 8 years works experience directly related Radiography (RT) and Ultrasonic (UT)
**Salary Range:** $84,800 - $141,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MS-Iuka) Manager Tool Engineering 32024-03-13T06:15:29-04:00https://northropgrumman.jobs/752CF176261B4DB19CB7FEB9A6C7B07D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems is seeking a site **Tooling Manager** **3** to join our team of qualified, diverse individuals within our Tooling organization. This leader will be responsible for providing the people, processes and technology to support all programs on site in **Iuka** **,** **Mississippi** .
This Manger will be leading multi-disciplined teams supporting multiple projects and sites to ensure processes and procedures are maintained and in compliance, as well as meeting quality, cost, and schedule targets to ensure program performance and customer satisfaction. Areas of responsibility will include Tool Engineering & Design, Assembly & Composite Tool Fabrication, Metrology, Prototype and Developmental Tooling, Assembly & Composite Tooling Maintenance, Tool Control & Accountability, and Tooling Procurement.
**Tooling Manager Job Responsibilities:**
+ Manager will lead and provide oversight and guidance to management team to ensure site and program objectives specific to quality, cost, schedule, and customer satisfaction targets are met.
+ The manager will be responsible for the development of long-range plans and strategies to provide the most affordable Tooling products and services in the industry.
+ Identify and develop staffing/skills requirements, forecasts, and training plans.
+ Manager will have Cost Account Manager (CAM) responsibility to all site tooling activities and will ensure compliance to EVMS for all direct & indirect budgets.
+ Responsible for formulating capital asset plans and strategies to build a sustainable Tooling Manufacturing Center.
+ Responsible to collaborate with other Aeronautics Systems Sector Tooling Management to develop consistent process and procedures to help drive affordability and insure the deployment of best practices across the sector
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, educational assistance, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement and respond vigorously to change.
**Basic Qualifications:**
+ Bachelor's degree and 7 years of professional experience in tooling, manufacturing/operations, assembly lines, and/or implementing technology into a production environment OR a Master's degree and 5 years of professional experience in tooling, manufacturing/operations, assembly lines, and/or implementing technology into a production environment or PhD and 2 years of professional experience in tooling, manufacturing/operations, assembly lines, and/or implementing technology into a production environment
+ 2 years of proven experience leading teams OR projects.
+ Ability to obtain and maintain a DOD Secret Clearance as well as Program Special access within a reasonable period of time, as determined by the company to meet its business need.
**Preferred Qualifications:**
+ Active DOD Secret Clearance
+ Bachelors degree in STEM (Science, Technology, Engineering or Mathematics)
+ Supervisory and/or Management experience in managing large projects, with an emphasis on tooling, manufacturing, assembly lines, and implementing technology into a production environment.
+ Aerospace or Aviation Industry experience managing tool fabrication organizations & tool fabrication shops, & material procurement
+ Experience in Project Management
+ Experience in Microsoft Office tools ( Word, Excel, PowerPoint).
**What we offer:**
At Northrop Grumman, we are on the cutting edge of innovation -- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer comprehensive benefits including competitive medical and dental options, a great 401K matching program, college education assistance, annual bonuses, 14 Employee Resource Groups inclusive of all employees, and opportunities for career advancement across North America!
**Salary Range:** $134,400 - $201,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Beltsville) Engineer Manufacturing2024-03-13T06:15:26-04:00https://northropgrumman.jobs/F120CA6628CC4B8A93F684B52566404626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
An organization within Northrop Grumman's Payload and Ground Systems (PGS) division, Spacecraft Components is a leading designer, producer, and supplier of spacecraft components that power and enable satellites of all classes. Products include spacecraft panels, bus structures, precision optical structures, deployable structural systems and mechanisms, solar arrays, and antenna reflectors. Our products are on virtually every U.S. satellite built in the last 20 years.
Learn more about Spacecraft Components at our website: https://www.northropgrumman.com/space/spacecraft-components/ .
**JOB DUTIES:**
+ Create manufacturing plans for manufacturing team to ensure that overall quality, delivery, and cost objectives are achieved.
+ Create and improve manufacturing work instructions to meet challenging hardware requirements.
+ Establish a common design goal that is aligned with the as-bid program proposal and the best approach for manufacturability through TKO (Technical Kick Off).
+ Communicate manufacturing plans and processes to internal and external customers through MRR (Manufacturing Readiness Reviews).
+ Create and manage Engineering Bill of Materials.
+ Review engineering designs and drawings for accuracy and manufacturability.
+ Conceptualize and design manufacturing tooling when required to help support operations.
+ Provide liaison to operations.
+ Support real time manufacturing floor issues and resolve discrepant hardware through disposition for immediate remedy. Participate in root cause investigation for preventative corrective action.
+ Specify all necessary special processes, equipment, and fixtures required for manufacturing on assigned programs.
+ Participate in continuous improvement projects to improve manufacturing methods, efficiency, and product quality.
**Basic Qualifications:**
+ Minimum 2 years of related experience with a Bachelor's degree and experience in a manufacturing environment; Aerospace manufacturing environment preferred.
+ Proven multi-tasker and self-starter with excellent verbal and written communication skills
+ Experience in writing technical specifications or manufacturing work instructions.
+ Proficient in reading engineering drawings and a good understand of GD&T (Geometric Design and Tolerancing)
+ Proficiency with MS Office required; MS Word, MS Excel, MS PowerPoint
+ Must be a US Citizen
**Preferred Qualifications:**
+ Understanding of 5S and Lean Manufacturing experience a plus.
+ Engineering experience in an ISO 9001 compliant manufacturing/fabrication work environment.
+ Familiarity with 2-phase thermal systems, fluid mechanics, and thermodynamics.
+ Working knowledge of GTAW (Gas Tungsten Arc Welding) and CNC (Computer Numeric Controlled) machining operations.
+ Proficiency with CAD software (CREO Parametric).
**Salary Range:** $79,300 - $118,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Manhattan Beach) Principal Engineer Microelectronic Semiconductors - Device Design/ Sr. Principal Engineer Microelectronic Semiconductors - Device Design2024-03-13T06:15:26-04:00https://northropgrumman.jobs/12B902CA57A04944A2C0610F2FEE8BFC26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems in air and space that impact people's lives around the world today, and for generations to come. Our work preserves freedom and democracy, and advances human discovery and our understanding of the universe. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have a lot of fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems has an opening for either a **Principal Engineer Microelectronic Semiconductors - Device Design/ Sr.Principal Engineer Microelectronic Semiconductors - Device Design** to join our team of qualified, diverse individuals. This position will be located in **Manhattan Beach, CA.**
The selected candidate will support the research and development of advanced microelectronics products for the Northrop Grumman Microelectronics Center. Candidate will be responsible for leading the development and maturation of novel III-V semiconductor technologies including, but not limited to, advanced GaN HEMT technology nodes. Candidate will be responsible for advancing new technologies from early research and development through production. Responsibilities will include millimeter wave (mmW) transistor design and simulation, experimental device development, root cause analysis, and RF device characterization.
The selected candidate should thrive in a fast-paced work environment with high expectations, significantly diverse assignments, and multidisciplinary team settings across all levels. Candidate must be comfortable in a lab environment and be able to collaborate closely with process engineers, circuit designers, and test engineers.
This requisition may be filled at the Principal T03 level or the Sr. Principal T04 level based on qualifications listed below.
**Basic Qualifications:**
+ Basic Qualification for the Principal T03 level: Bachelor's degree in a Science, Technology, Engineering or Mathematics (STEM) discipline from an accredited university and 5 years of engineering experience in an aerospace environment; OR Master's degree in STEM and 3 years of engineering experience in an aerospace environment; OR Ph.D. degree in STEM from an accredited institution
+ Basic Qualification for the Sr. Principal T04 level: Bachelor's degree in a Science, Technology, Engineering or Mathematics (STEM) discipline from an accredited university and 9 years of engineering experience in an aerospace environment; OR Master's degree in STEM and 7 years of engineering experience in an aerospace environment; OR Ph.D. degree in STEM from an accredited institution and 4 years of engineering experience in an aerospace environment
+ Experience with semiconductor device processing
+ Expertise in semiconductor device physics
+ Proficiency in Design-of-Experiments methodologies
+ Willingness to obtain and maintain a DoD Clearance
**Preferred Qualifications:**
+ Ph.D. degree in Electrical Engineering, Chemical Engineering, or Physics
+ Experience with MMIC design and fabrication
+ Direct experience with GaN transistor development
+ Demonstrated track record of applying scientific principles to advance device performance
+ Experience with technology maturation and transition from R&D to manufacturing
+ Excellent communication, interpersonal skills, and the ability to interface with all levels of employees and management
+ Ability to prioritize and perform on multiple tasks simultaneously
**Salary Range:** $107,300 - $160,900
**Salary Range 2:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Chantilly) Principal Classified Cybersecurity Analyst2024-03-13T06:15:24-04:00https://northropgrumman.jobs/38BD3D70BAB447039D60591000F3C34E26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Information Systems Security Professionals, We Want You!**
Cyber assets everywhere are under siege from a wide spectrum of threats. Almost daily, these threats grow in sophistication, breadth and speed.
Support our entire enterprise and build the networks, staff the teams, and develop the processes that will keep us defining what's possible. We have opportunities across our enterprise with 90,000 team members throughout the world. The only limit to your growth is your ambition and drive. We want to empower you to bring your best, with resources, support, and talented team members that will launch your career.
At Northrop Grumman, we take a holistic approach to cybersecurity, looking at the whole cyber landscape of people, processes and technology and the whole security realm of offense, defense, and exploitation. Thought leadership demands nothing less.
If you are an expert with classified computers within the Department of Defense (DoD) and Intelligence Community computing environments, Northrop Grumman Corporation has fantastic opportunities for your career growth.
We are seeking experienced **Information Systems Security Professionals** across the country to support information systems lifecycle activities.
Responsibilities will include (but are not limited to):
+ Perform assessments of systems and networks within the networking environment or enclave and identify where those systems and networks deviate from acceptable configurations, enclave policy, or local policy.
+ Establish strict program control processes to ensure mitigation of risks and supports obtaining certification and accreditation of systems. Includes support of process, analysis, coordination, security certification test, security documentation, as well as investigations, software research, hardware introduction and release, emerging technology research inspections and periodic audits.
+ Assist in the implementation of the required government policy, make recommendations on process tailoring, participate in and document process activities.
+ Perform analyses to validate established security requirements and to recommend additional security requirements and safeguards.
+ Support the formal Security Test and Evaluation (ST&E) required by each government accrediting authority through pre-test preparations, participation in the tests, analysis of the results and preparation of required reports.
+ Document the results of Certification and Accreditation activities and technical or coordination activity and prepare the system Security Plans and update the Plan of Actions and Milestones POA&M.
+ Periodically conduct a complete review of each system's audits and monitor corrective actions until all actions are closed.
If you are ready to solve complex problems in a dynamic environment, apply today!
**Note:** Due to the classified nature of the work being performed, this position does not offer any virtual or telecommute working options. Applicants are encouraged to apply, only if they are willing to work on-site.
**Basic Qualifications:**
+ Master's degree with 3 years of experience; OR a Bachelor's degree with 5 years of experience; OR an Associates degree with 7 years of experience; OR a High School Diploma/GED with 9 years of experience is required
+ **Must have a DoD 8570 IAM level II (or higher) security certification** (examples: CAP, CASP+ CE, CCISO, HCISPP, CISM, GSLC, CISSP-Associate, or CISSP);
+ Candidates **must have a current DOD Top Secret security clearance with SCI with Polygraph** (at a minimum) to include a closed investigation date completed within the last 6 years in order to be considered
+ Must have the ability to obtain, and maintain, access to Special Programs as condition of continued employment
**Preferred Qualifications:**
+ The ideal candidate will have a Bachelor's degree in Cyber Security, a DoD 8570 IAM level II (or higher) security certification, and 4+ years of experience with Assessment and Authorization of classified systems and Risk Management Framework
+ Knowledge of ACAS, NESSUS, SPLUNK, SCAP, POA&Ms, NIST, DIACAP, NISPOM, system audits, vulnerability scanning, and RMF package development preferred
+ Current Top Secret with SCI and/or SAP/SAR access level is highly desirable
**Salary Range:** $107,300 - $160,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MA-Walpole) Sr Principal Computer Systems Architect2024-03-13T06:15:24-04:00https://northropgrumman.jobs/7C69DB977A094830824E51C5E2E6DC6326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems Sector has an opening for a senior principal systems architect based out of **Walpole, MA and is not eligible to be fully remote** . The qualifying system architect will employ model-based systems engineering techniques to translate customer needs, captured in through interviews synthesized in use cases, into system design. These systems will deliver integrated capabilities solving challenging issues for our internal and external customers in delivering operations, sustainment, and modernization support for the warfighter.
**Responsibilities:**
+ Understand, apply, and offer improvements for the overarching design principles and design process
+ Build out the systems engineering model for the capability, in collaboration with the lead UI/UX and Application developers and the product owner, to solve customer issues, allocating customer needs into
+ requirements,
+ behaviors (processes, functions, and information and material exchanges)
+ physical representations (actors, components, links)
+ Lead reviews of the model for initial release and updates to maintain it as the technical baseline for development of the capabilities
+ Support the program owner in developing communications to describe the how the capability addresses the customer's challenges
+ Lead trade studies to support selection of architectural patterns, make/buy decisions, and provide guidance on implementation and development of the capability
**Basic Qualification:**
+ Bachelors in Science and 9 years of relevant experience; Masters degree and 7 years relevant experience; 4 Years relevant experience with PhD
+ Must be familiar with Software Development architectures
+ Must be familiar with database technologies including SQL, No SQL, and Triple-Store data bases
+ Must have a strong understanding of network architectures such as AWS
+ Must have and understand of containerization such as Kubernetes
+ Must had a strong understanding of interface technologies
**Salary Range:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-NY-Rome) Systems/Cloud Engineer in support of DAF CLOUDworks2024-03-13T06:15:23-04:00https://northropgrumman.jobs/052DD9980D9743C49CC171C21D94D3AE26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems (NGDS) is seeking a Systems/ Cloud Engineer to maintain and enhance capabilities in support of DAF CLOUDworks at the Air Force Research Lab (AFRL) in Rome, NY.
You will be an active part of a team providing technical support of customers leveraging DAF CLOUDworks. Successful candidates should have a track record as an effective communicator and problem solver who is able to develop and maintain good working relationships with internal and external stakeholders.
This requisition may be filled at a higher level based on the below qualifications.
**Basic Qualifications for an Associate Systems Engineer:**
+ A bachelor's degree in a STEM related field with 0 years of experience
+ Ability to obtain a Top Secret clearance with Sensitive Compartmented Information (TS/SCI)
+ Ability to work on-site at a customer facility 2-3 days/week
**Basic Qualifications for a Systems Engineer:**
+ One of the following:
+ A bachelor's degree in a STEM related field with 2 years of experience
+ A master's degree in a STEM related field with 0 years of experience
+ Ability to obtain a TS/SCI clearance
+ Ability to work on-site at a customer facility 2-3 days/week
**Preferred Qualifications:**
+ Active SECRET clearance with ability to obtain TS/SCI.
+ Familiarity with Agile methodologies
+ Familiarity with Cloud-based technologies
+ CompTIA Security+ certification
+ Experience with DevOps tools, such as:
+ GitLab/GitHub
+ Nexus
+ Artifactory
+ Jenkins
+ SonarQube
+ Docker
+ Python
+ Ansible
This position description does not represent a current opening but may be used to identify candidates with skills and experience for positions within Northrop Grumman that frequently become available. Candidates who express an interest may be considered for future positions at Northrop Grumman.
**Salary Range:** $65,500 - $98,300
**Salary Range 2:** $79,300 - $118,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Aurora) RF Systems Engineer2024-03-13T06:15:22-04:00https://northropgrumman.jobs/2B69433FA6E944E39C75BDAA0250004326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
Northrop Grumman Space Systems (NGSP) is seeking an experienced **RF Systems Engineer** for their **Aurora, CO** site **.**
**What you'll get to do?**
We have an opening for an algorithm-oriented Systems Engineer to support algorithm development, testing, and evaluation of a new physics-based machine learning initiative on the Aurora campus. The selected candidate will be part of a fast-paced, highly technical team assisting program leadership and the customer in the design and development of a system to automatically calibrate radio frequency (RF) systems using a combination of physical knowledge and large data sets. The ideal candidate will have experience with some combination of electromagnetism, RF apertures, regression mathematics.
**Basic Qualifications:**
+ 2 years of relevant experience with a Bachelor of Science degree in a STEM discipline; 0 years of relevant experience with a Masters' degree
+ Working knowledge of systems engineering principles and processes
+ Background in Machine Learning
+ Demonstrated experience quickly learning new concepts and approaches
+ Top Secret / SCI; willing to submit to a polygraph
**Preferred Qualifications** :
+ Advanced degree (MS/PhD) in EE, Physics, or Math
+ Experience with physics-based and/or Digital Signal Processing Simulators.
+ Experience with Machine Learning
+ Excellent technical writing and team building skills.
**Salary Range:** $83,300 - $124,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Patuxent River) Principal Mechanical Engineer / Senior Principal Mechanical Engineer (Liaison/MRB) - 4/10 1st Shift2024-03-13T06:15:19-04:00https://northropgrumman.jobs/49A98BF873E44B5C9493C9C9630E78AF26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a Principal or Sr. Principal Mechanical Engineer (Liaison/MRB) to join our team of qualified, diverse individuals within our Vehicle Engineering organization. The role will be located in Patuxent River, MD and is assigned to 1st shift on a 4 day - 10 hours/day schedule (Monday - Thursday).
In this role the selected individual will be an on-site Liaison Engineering source of Vehicle Engineering support in day-to-day technical tasking related to a classified program. The selected candidate will coordinate and communicate the site's goals and progress, author detailed engineering direction, as well as collaborate readily with support engineering roles to ensure the integrity of the final product.
We offer flexible work arrangements, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment.
Candidate must be willing to work extended shifts, weekends and holidays as required to meet program schedule and objectives. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
**Key Responsibilities:**
+ Coordinating with a multi-disciplined team of engineers responsible for providing engineering support.
+ Working with subsystems installations and composite & metallic structures.
+ Performing timely dispositions for nonconforming parts, assemblies, and installations.
+ Working as part of a Material Review Board (MRB) and Engineering Change Request (ECR) processes.
+ Excellent written and verbal communication skills, leadership, and problem-solving abilities.
+ Basic knowledge of subsystems: electrical, hydraulics, fuels, fluids and propulsion systems.
+ Some of the tools used are CATIA V5 and TeamCenter Unified & Enterprise.
***This position may be filled at either the Principal Mechanical Engineer or Senior Principal Mechanical Engineer level based on the qualifications below.
**Basic Qualifications for Principal Mechanical Engineer:**
• Bachelor's of Science degree in a STEM discipline AND 5 years of related professional/military experience in Engineering, OR a Master's degree in a STEM discipline AND 3 years of related professional/military experience in Engineering, OR a PhD in a STEM discipline with 0 years of experience.
• Experience with the MRB process
• **Current in-scope DoD Secret Clearance** (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation).
**Basic Qualifications for Sr. Principal Mechanical Engineer:**
• Bachelor's of Science degree in a STEM discipline AND 9 years of related professional/military experience in Engineering, OR a Master's degree in a STEM discipline AND 7 years of related professional/military experience in Engineering, OR a PhD in a STEM discipline AND 4 years of related professional/military experience in engineering.
• Experience with the MRB process
• **Current in-scope DoD Secret Clearance** (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation).
**Preferred Qualifications:**
• Advanced degree in Mechanical Engineering, Electrical Engineering or Aerospace Engineering
• Previous MRB/LE Authority for Engineering
• Experience with CATIA V5, NX, Visualization Pro, TeamCenter, MES, or other CAD programs
• Experience providing autonomous engineering support
• Experience briefing senior leadership on team progress and goals
• Experience with the manufacture and repair of composite structures
• Experience with the conceptual operation, and troubleshooting of, typical aircraft subsystems
• Experience working hands-on in a production assembly line environment
• Experience with Model Based Engineering (MBE ) (3-D model engineering definition)
• Excellent written and verbal communication skills, leadership, and problem solving abilities
• Experience in a technical leadership and/or management role
• Experience working in an aircraft manufacturing facility
• Experience interfacing with a military customer
• Experience working hands-on in a production assembly line environment
• Experience with aircraft structures design
• Experience with aircraft subsystem design
**Salary Range:** $97,500 - $146,300
**Salary Range 2:** $120,900 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Lemoore) CFT - F-35 Aircraft Low Observable Mechanic 3/4 (SkillBridge)2024-03-13T06:15:19-04:00https://northropgrumman.jobs/4EB355E1506C414293C8E52FA5BAE42726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identifies as veterans, and more than 1,600 are reservists. The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29. The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last **6 months of service** , for up to **180 days** . The Northrop Grumman Military Internship Program is open to all ranks and experience levels. SkillBridge participants are **not eligible for compensation** from Northrop Grumman, as they continue to receive military compensation and benefits as **Active-duty service members** .
Responsibilities for this internship position are:
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for Skillbridge . During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
Goals - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
Objectives - Service Members who complete the Intern program will be highly trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
Outcome - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**DoD SkillBridge Eligibility:**
- Has served at least 180 days on active duty
- Is within 12 months of separation or retirement
- Will receive an honorable discharge
- Has taken any service TAPS/TGPS
- Has attended or participated in an ethics brief within the last 12 months
- Received Unit Commander (first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Are you ready to put your experience to work at Northrop Grumman? If so, our Defense Systems F-35 Sustainment HPSI Operating Unit, wants YOU to join our Team as an **Aircraft Low Observables Mechanic 3** "or" **4** and join our team of qualified, diverse individuals. You will be assigned as an **Aircraft Low Observables Mechanic** supporting F-35 organizational maintenance at **Lemoore, Ca.** Your Mission will be to support O-Level maintenance on F-35.
**Your duties and responsibilities include (but are not limited to)** :
+ Responsible for all aircraft low observable outer mold line structures, materials, and applications.
+ Prepares composite or metallic surfaces for coating applications by performing various operations such as sanding, stripping, applying vacuum, performing porosity testing, masking, and other preparation tasks.
+ Mixes and applies sealants, gap fillers and any other required processes prior to paint which may require the use of heating devices.
+ Mixes and applies paints and other coatings at the proper spraying consistency and brush/roller application .
+ Applies additional surface details to manufacturer specifications.
+ Performs or coordinates component-level testing for specification compliance after applications have been completed.
+ May perform similar duties in aircraft build, modification, or maintenance role.
+ Verifies application/condition of installed LO materials for conformity to specifications and determines material required to perform repairs.
+ Removes, takes corrective action, and makes reinstallations for design and modification changes.
+ Sets up and operates various types of material testers and mixers.
+ Troubleshoots, repairs and services aircraft systems.
+ Conducts diagnosis of malfunctions.
+ Performs maintenance, disassembly, rework, repair, replacement, re-assembly, or adjustment of various aircraft systems in accordance with technical specifications, engineering instructions, and FAA regulations.
+ Performs or coordinates component-level testing for specification compliance after applications have been completed.
***** You Will perform duties as part of a Contract Field Team (CFT) responsible for depot level modifications performed at customer locations. As part of the CFT, members are expected to assist in other technical disciplines as requested by team leads or management.**
**Your maintenance tasks include (but are not limited to) :**
+ Maintenance tasks include configuring aircraft for modifications, removal, and installation of attaching hardware, component removal and installations, assistance with software uploads, various system operational checkouts, and egress system maintenance.
+ Technical requirements also include fault isolation, troubleshooting, and repair of system failures detected during operational checks associated with maintenance and restoration of aircraft to flying condition subsequent to accomplishment of modifications and maintenance.
+ Interprets and uses various test equipment and works from wiring diagrams, engineering drawings, job guides, and maintenance manuals.
+ Must obtain and maintain qualifications as directed by supervisor.
**Basic Qualifications :**
Level 3 : High School Diploma or GED with a minimum of 4 Years of aircraft airframe/powerplants and/or crew chief experience.
+ **Must have an Active Secret Clearance or higher.**
+ **US Citizenship required.**
+ **Must be able to obtain Special Access Program clearance, as required .**
+ Air Force 5 or 7 Level, US Navy or Marine Corps CDI, or equivalent Airframe and Power Plant (A&P).
+ Ability to read and interpret technical data.
+ Must be able to successfully complete and pass government required F-35 trainings (Advanced Technical Training and/or Cadre Course) for continued employment.
+ Must be willing to work a flexible work schedule that may include extended shifts, holidays, and weekends and support on call status for 24 hours-a-day, 7 days-a-week, 365 days a year.
+ Must be willing to provide temporary support to our other CFT locations at NAS Fallon, MCAS Miramar, MCAS Cherry Point, MCAS Yuma, or other sites should the need arise.
+ Able to meet the physical demands of this position, including: regularly required to lift up to 50 pounds and perform repetitive movements, work overhead, use hands to grasp, handle, and/or feel, reach. The employee is frequently required to stand, walk, stoop, kneel, squat, crawl, and twist.
+ Meets DoD SkillBridge qualifications as per DODI 1322.29
Level 4 : High School Diploma or GED with a minimum of 4 Years of aircraft airframe/powerplants and/or crew chief experience.
+ **Must have an Active Secret Clearance or higher.**
+ **US Citizenship required.**
+ **Must be able to obtain Special Access Program clearance, as required .**
+ Air Force 5 or 7 Level, US Navy or Marine Corps CDI, or equivalent Airframe and Power Plant (A&P).
+ Ability to read and interpret technical data.
+ Must be able to successfully complete and pass government required F-35 trainings (Advanced Technical Training and/or Cadre Course) for continued employment.
+ Must be willing to work a flexible work schedule that may include extended shifts, holidays, and weekends and support on call status for 24 hours-a-day, 7 days-a-week, 365 days a year.
+ Must be willing to provide temporary support to our other CFT locations at NAS Fallon, MCAS Miramar, MCAS Cherry Point, MCAS Yuma, or other sites should the need arise.
+ Able to meet the physical demands of this position, including: regularly required to lift up to 50 pounds and perform repetitive movements, work overhead, use hands to grasp, handle, and/or feel, reach. The employee is frequently required to stand, walk, stoop, kneel, squat, crawl, and twist.
+ Meets DoD SkillBridge qualifications as per DODI 1322.29
**Preferred Qualifications :**
+ F-35 or F-22 maintenance experience.
+ 5th Gen Fighter airframes/sheet metal experience.
+ DoD Intermediate level Low Observables, or commercial/industry equivalent.
+ DoD Intermediate level sheet metal/structures/airframes experience, or commercial/industry equivalent.
+ Heavy mods or aircraft reset experience.
**Salary Range:** $60,100 - $119,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) Non Destructive Test Tech 12024-03-13T06:15:19-04:00https://northropgrumman.jobs/930BE74710594D719501C7F3F938B56926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems (NGAS) is looking for you to join our team as a Non-Destructive Test (NDT) Technician 1 based out of Clearfield, UT.
This job performs non-destructive tests (NDT) on aircraft engines or components. Inspects composite materials, parts and assemblies for defects using automated and manual methods with ultrasound techniques. Requires certifications in UT Non-Destructive testing in accordance with regulations.
**Basic Qualifications:**
+ High School Diploma or equivalent GED (education will be verified)
+ NDT UT Level I/II classroom in progress, classroom hours and completion must be done prior to hire date
+ Ability to work flexible/changing schedules including weekends.
+ Ability to work in a fast-paced dynamic environment as part of a multi-disciplined team.
+ Experience/Ability to interpret rulers, digital gauges and calipers
+ Experience in Composite Manufacturing/High Output Production
**Preferred Qualifications:**
+ Experience and familiarity with inspection/interpretation engineering requirements of composite parts/materials.
+ Experience with Handheld Pulse Echo Units (USM GO, USN 60)
+ Proficiency in Microsoft Office applications
+ Must be capable of conducting NDT for the acceptance of parts and document the results.
+ Strong interpersonal skills with the ability to work with a team.
+ Excellent communication skills are required.
+ Ability to multi-task
+ Ability to prioritize and manage time effectively.
+ Ability to perform moderate strenuous physical and repetitious work to include: bending, stooping, kneeling, carrying tools, lifting up to 50lbs.
+ Perform physical requirements of the position, including handling/positioning parts in the Ultrasonic inspection tanks to perform Ultrasonic inspections
+ DoD Secret Clearance
+ Experience working with Automated Ultrasonic Inspection Systems
**Salary Range:** $38,600 - $64,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-GU-Yigo) Manager Aircraft Maintenance 1 (Guam) Top Secret (AHT)2024-03-13T06:15:19-04:00https://northropgrumman.jobs/E73B62320F9D40CFB9E904EE1D17CB5126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Aircraft Maintenance Manager 1, NGDS/Triton (Guam)**
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Northrop Grumman Defense Systems (NGDS) is seeking an experienced technical professional to lead & manage the NGDS Guam/Triton MQ-4C Maintenance/FSR Team.
**Job Description:** The Aircraft Maintenance Manager will be responsible for the (personnel management) daily planning, scheduling, operations & direction of NGDS employees assigned to the location. The right candidate will actively assist or provide direction to FSR Team as required to accommodate the Navy customer ground/flight operations. This position also works closely with our NGAS site leadership to ensure the MQ-4C UAS are (logistically) functional and available for customer ops tempo. Responsible for collection and reporting of system maintenance data metrics to support Reliability and Maintainability efforts. Ensures the system is configured in accordance with the Program's Configuration and Data Management Plans. Ensures maintenance, troubleshooting, and repair activities are conducted in accordance with established policies and procedures. Follows & assists in any ground operating procedures audits, inspections, and/or compliance reviews IAW DCMA 8210 series Provides feedback to NGAS/DS leadership during daily maintenance pass downs, shift turnover or meetings. Acts as Liaison between NGDS Program Management and onsite personnel.
**This position requires 100% travel/relocation to Guam and may include secondary travel to OCONUS locations in support of any AV divert or customer operation. This assignment will require a minimum of 2 years commitment per International Assignment Agreement.**
**This position will be a long-term expatriate opportunity. This position will include Flight Arrangement(s), Household Good Shipment, HOLA, COLA and other incentives for working this assignment overseas** .
+ Supports the NGAS Site Lead for the schedule and technical performance of the Triton maintenance & ground operations.
+ Supports the Program Manager as the site's point of contact for all (O/I Level) maintenance/FSR function(s), and logistic activities of the unmanned MQ-4C Triton aircraft.
+ Participates in daily review sessions with customer to discuss schedule, and technical performance of the AV & maintenance team training efforts.
+ Oversees maintenance, servicing, repair, and overhaul of UAS/air vehicles. Must become an expert in all associated subsystems to ensure that they are in good mechanical condition and maintain airworthiness/safety.
+ Maintains interfaces with all departments having direct impact on the air vehicles and field support systems. Must adhere to configuration management, technical orders, field modification, repair programs, software support, support equipment and other field support activities.
+ Provides knowledge/experience of all MQ-4C specific technical publications/data & NG engineering requirements.
+ Works towards milestones and monitors adherence to integrated master schedules (IMS) as it pertains to program & customer schedules, aircraft deliveries, & flight/ground operations.
+ Ensures adherence to contract Statement of Work (SOW), any Ground/Contractor Operating Procedures IAW local NGAS requirements to perform maintenance, and/or flight operations.
+ Identifies problems and recommends solutions, such as allocation of resources or modification of contractual specifications.
+ Assists with audits, program inspections, and suggests appropriate corrective actions/adjustments to maintain contract compliance/performance.
+ Direct management of NGDS employees/schedules/training and provides overall feedback via annual/semi-annual performance rating & review.
+ Recommends procedure changes to improve maintainability of aircraft and timely inspections.
+ Will reference manufacturers' technical manuals & explore engineering consultation for specifications (as needed) to determine feasibility of repair or replacement of AV components/structural repair.
+ Available to work and/or modify multiple shifts as needed to maintain customers operational support function.
+ Will be required to complete engine run (qualified/er)
+ May require Safe for Flight certification/designation.
**Basic Qualifications:**
+ Bachelor's degree in an appropriate technical discipline and 5 years of relevant experience. Additional experience (10+) in related technical field will be considered in lieu of degree.
+ Must have an active DoD Top Secret clearance upon start.
+ Must be eligible to attain/maintain a TS/SCI.
+ Must have strong communication skills/delivery methods, ppt, excel, word, Microsoft/teams.
+ Comfortable/confident working in flight operations.
+ Must be willing to travel/live 100% abroad.
+ Must have valid/current passport credentials.
+ Must have technical MQ-4C Triton Aircraft experience (or similar)
**Preferred Qualifications:**
+ Experience in managing a maintenance department supporting an FSR function.
+ MQ-4C Aircraft maintenance, troubleshooting, ground handling, launch/recovery, Safe for Flight
+ NAVY/NAVAIR/NAMP/OPNAVINST 4790.2, OOMA, DCMA 8210 series
**Salary Range:** $95,000 - $142,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) SDS Division Design Operations and Integration Manager 2 (11881)2024-03-13T06:15:18-04:00https://northropgrumman.jobs/F2E57150D0824CF3BF9D9E2699DE36CB26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **SDS Division Design Operations and Integration Manager 2** . This position is located in **Roy, UT** .
This role may offer a competitive relocation assistance package.
**What You'll Get To Do:**
In this position, the Manager of Operations and Integration for the Design Engineering Homeroom will support an experienced and diverse team responsible for onboarding talent, defining processes and procedures and standing up and maintaining tools necessary for the members of the organization to perform against Department requirements. As this work spans across a diverse set of stakeholders, this leader will be expected to collaborate effectively and partner across organizations -- Human Resources, Communications, Site Operations, and Program Leadership - to ensure day-to-day functions of the organization execute.
The successful candidate will join the SDS Division Engineering Homeroom supporting day-to-day operation of the SDS Division Design Directorate. This role requires a proactive individual willing to work in a fast-paced and dynamic environment. A good team focus and willingness to multi-task is important in this role.
**Key responsibilities will include:**
+ Provide horizontal integration across the Division and functional Design teams
+ Coordinate with the Division Homeroom, for identifying needs and coordination on task closure (Agile).
+ Act with a sense of urgency and accountability; takes ownership of tasks and strategic direction
+ Build relationships, effectively listens, communicates to influence, and ensures accountability across a network of stakeholders
+ Provide general management of budget/forecast across multiple teams and funding sources
+ Collaborate the Long Range Strategic Plan (LRSP) portfolio with the functional managers including budgets planning, monitoring progress and status reporting
+ Navigate ambiguity and develops a systemic approach and strategy to address and solve problems
+ Prepare charts, briefings and metrics as needed to provide the Director with visualization material necessary to understand the health of the organization and status of tasking
+ Provide technical interface with SDS Division Integration for rotations, onboarding and internships
+ Update project database information with multiple input sources
+ Coordinate SharePoint database system changes with admins and developer
+ Lead Design CoP calendars and communications across sites
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
- Medical, Dental & Vision coverage
- 401k
- Educational Assistance
- Life Insurance
- Employee Assistance Programs & Work/Life Solutions
- Paid Time Off
- Health & Wellness Resources
- Employee Discounts
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. This role may offer a competitive relocation assistance package.
**Job Qualifications:**
**You'll Bring These Qualifications:**
+ Bachelor of Science degree in a STEM (Science, Technology, Engineering and Math) discipline and 6 years of experience
+ At least 1 years' experience leading a project and/or driving performance against schedule
+ Must have the ability to obtain and maintain a DoD Secret Security Clearance
+ Previous technical experience with concentration in mechanical / electrical applications, technical project management, or operations of systems and technologies
+ At least 1 year experience with Agile processes
+ Experience with process improvement and driving the cadence of a team's execution
+ Ability to travel 10% of time
**These Qualifications Would be Nice to Have:**
+ Current and active Secret security clearance or higher
+ Master's in Business or technical field
+ Detailed and team oriented with demonstrated leadership and organization skills
+ Ability to help solve problems or conflicts within teams
+ Proficient in Jira, Confluence, MS Word, Excel, and PowerPoint
+ Demonstrated experience in:
+ Depth and breadth of experience with defense products and services, including understanding of DoD weapons programs.
+ Collaborating across organizations to bring forward a consolidated objective and measure performance
+ Leading through a program or project start-up and effectively rolling out and managing change
+ Leading within an Agile environment (e.g., Product Owner, Scrum Master)
+ Familiarity with Scaled Agile Framework (SAFe)
\#GBSDLeadership
**Salary Range:** $119,300 - $178,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-AZ-Chandler) Manager Supply Chain Programs 12024-03-13T06:15:16-04:00https://northropgrumman.jobs/0C2DC1B756314972A28E79DB1B8BD35B26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Launch and Missile Defense Systems (LMDS) is an industry-leading provider for prime satellite and payload capabilities and directed energy and electronics solutions for national security, military, and civil customers. We are built on a heritage of providing innovative, affordable and reliable aerospace and defense products that our customers rely on to achieve mission success. Join the Space revolution and make the impossible, possible.
LMDS has an opening for a **Manager Supply Chain Programs 1** to join our team of qualified, diverse individuals. This position will be located in Chandler, Arizona
**Job Description:**
+ Responsible and accountable for the strategy, planning, and execution of all material and services content for a Program or collection of Programs. The primary focus of this role will be on Business Development and Proposals across the Launch Vehicles Business Unit.
+ Establish and build tools to facilitate standardization in proposal activities and practices.
+ Create repeatable process to backward plan material needs to avoid lead time issues post contract award.
+ Working with Contracts organization to ensure material assumptions are captured in proposal ground rules and assumptions on all proposals.
+ Acts as the main focal point for the Program Management Office (PMO) for the entire supply chain and coordinates cross-functionally to ensure that all supply chain commitments are met, and that appropriate mitigation plans are developed and executed to address any programmatic risks related to suppliers.
+ Develops the material strategy and leads the activities for GSC in all phases of the Business Acquisition Process (BAP).
+ Ensures that prior to program execution, all elements of the proposed program(s) plans have complete and accurate schedules, budgets, make/buy plans, and procurement and subcontracts strategies.
+ Leads supply chain Program execution and is accountable for resource planning, material cost, forecasting, schedule performance, risk/opportunity management, supplier performance, and supply chain issue resolution.
+ Briefs the PMO and executive management on the status and issues affecting Program cost and schedule performance.
+ Shape proposals through training and coaching in a matrixed environment.
**Position Responsibilities:**
Focal point for managing Program Supply Chain throughout the Program life cycle from pursuit to contract close out. Responsible for;
+ Supply Chain Management strategy, demand planning, BOM maintenance, coordination w/ logistics and procurement stakeholders, developing a Material Program Plan (MPP), and risk management.
+ Manage the performance of the integrated supply chain cost, quality, & delivery.
+ Material risk management, including identification, and mitigation planning and execution.
+ Material budget development, sales forecasting and cost (EAC) management; EVMS if applicable.
+ Cross functional coordination as it pertains to supplier management, specifically with Supplier Quality Engineering (SQE), Procurement, and the IPT.
+ Briefs Program and Executive management and Customer on the status and issues affecting Supplier performance and cost.
**Basic Qualifications:**
+ A bachelor's degree AND 8+ years of related professional/military experience that includes a background in Supply Chain Management and/or Program/Project Management, OR a Master's degree AND 6+ years of related professional/military experience that includes a background in Supply Chain Management and/or Program/Project Management.
+ 4 additional years of experience will be accepted in lieu of a bachelor's degree
**Preferred Qualifications:**
+ Knowledge of FAR and agency supplements
+ Prior experience leading a team/process/project
+ Experience with supplier management
+ Direct experience interfacing with leadership
+ International/ITAR experience
+ Ability to obtain secret clearance is required
+ Prefer Experience with Program Management and Earned Value Management.
+ Ability to work at Chandler, AZ facility or willing to re-locate within 6mo of hire.
**As an employee of Northrop Grumman, you are eligible for our robust benefits package which includes:**
• Medical, Dental & Vision coverage
• 401k
• Educational Assistance
• Life Insurance
• Employee Assistance Programs & Work/Life Solutions
• Paid Time Off
• Health & Wellness Resources
• Employee Discounts
This position's standard work schedule is 9/80. The 9/80 schedule allows an employee to work a nine-hour day Monday through Thursday and take every other Friday off of work.
**Salary Range:** $96,700 - $145,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Principal Pricing Analyst2024-03-13T06:15:16-04:00https://northropgrumman.jobs/593C60DA288E4D1A8F1B9A8AD5EED98826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
Northrop Grumman Mission Systems Sector - Business Management Group- Contracts: Pricing and Estimating Team seeks a **Principal Pricing Analyst 3 to join its team in Linthicum, MD.** **We are open to a hybrid work** **ing schedule which would require 2-3 days a week in the Linthicum, Md office. We may also consider a full time remote work schedule.** Come join us!
**What You'll Get To Do:**
Prepare material estimates for proposal submittals by:
+ Understanding customer requirements through RFPs and other requests
+ Collaborating and coordinating with proposal technical teams in developing the material estimating strategy by interfacing with Business Management, Procurement, Supply Chain, Program Management, Program Office, and Pricing Organizations
+ Selecting appropriate pricing methods (PO History, vendor quote, etc) for each item included in the proposal
+ Ensuring compliance with Customer and FAR Regulatory Requirements
+ Ensure schedule adherence
Support proposal reviews with detailed Bills of Materials and Cost Estimating Relationship (CERs) Estimates including accompanying supporting data
Compile costs, schedules, technical elements, and hardware/sub-contractor costs in accordance with request for proposal (RFP) specifications
Coordinate the collection and accumulation of cost, scheduling, and performance elements from internal customers
Research and analyze historical data
Interact with other functional areas to troubleshoot issues in support of proposal requirements and deadlines
Develop cost models to support proposal efforts and overall pricing strategy
Analyze and Develop Factory Labor Estimates, based on communication and feedback from the Operations Program Management (OPM) Team
Develop and distribute Multi-Plant Reports, to gather pricing inputs from other facilities
**Basic Qualifications:**
+ Bachelors degree and a minimum 6 years of related experience or a Masters degree and a minimum of 4 years of related experience
+ Strong analytical and organizational skills
+ Clear written and oral communication
**Preferred Qualifications:**
+ Experience working on proposal efforts
+ Working knowledge of Enterprise Resource Planning Systems, specifically SAP
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $84,600 - $127,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Software Manager Data Analytics - 123612024-03-13T06:15:14-04:00https://northropgrumman.jobs/64698C6B4E4D498EAB2669059A9248BD26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems has an opening for a **Software Manager Data Analytics - 12361** to join our team of qualified, diverse individuals. This position will be located in **Roy, Utah** and supporting the Strategic Deterrent Systems Division.
What You'll Get To Do:
+ Leads and plans the activities of a team that develops, transforms, and models data and/or data-based systems to provide insights, enable decision making and improve performance.
+ Designs visualization and systems for consumption of analytical results; transforms raw data into actionable information. Identifies, communicates, and quantifies opportunities for leveraging analytics solutions and applying data analytics.
+ Acts as liaison with decision makers by providing data-informed strategic direction, and as a liaison with subject matter experts to obtain data sets and set expectations for potential of data analytics.
+ Optimizes organization's data collection, management, and utilization strategy. Communicates the potential impact of analyses and applicable data privacy policies, procedures, and regulation.
+ Responsible for the functional management of a diverse team of engineers, includes performance management and career development activities. A successful track record of program performance, interpersonal team building skills, and management experience is a must for this position.
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. This role may offer a competitive relocation assistance package.
**You'll Bring These Qualifications:**
+ 6 years of relevant experience with Bachelor's in STEM Degree in either Data Science, Mathematics, Physics, Computer Science.
+ US Citizenship with Active DoD Secret clearance with a reinvestigation date occurring in the last 6 years.
+ At least 1 year experience leading a project and/or driving performance against schedule.
**These Qualifications Would be Nice to Have:**
+ Data Science Degree, Graph theory, graph database, software architecture, database, AWS/Cloud, distributed SW architecture.
+ 3+ years' experience leading a technical team as a project, technical, and/or functional lead.
+ 5+ years leading a team, including mentoring and coaching.
+ Experience with individual contributor performance assessments.
+ Excellent interpersonal, communication and organizational skills.
+ Strong critical thinking and logical reasoning skills with the ability to solve issues and predict potential programmatic and technical risks.
+ General understanding of NG Engineering Processes.
+ Working knowledge and/or experience across engineering disciplines.
+ Experience managing an engineering team.
+ Familiarity with Agile engineering and Jira.
**Salary Range:** $119,300 - $178,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Sunnyvale) Business Management Manager 12024-03-12T06:18:17-04:00https://northropgrumman.jobs/6C3335CE2B3040BB833BDD9010E121B826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
We are looking for you to join our team as a **Business Management Manager 1** based out of Sunnyvale, CA. **This position may be staffed as a hybrid role. This position offers the 9/80 schedule.**
**What You'll Get to Do :**
The **Business Management Manager 1** will support/lead all business management activities within the Virginia Class program within the Machinery Operating Unit (Marine Systems Business Unit in Maritime/Land Systems Sensors Division) This position will be reporting to the Machinery Operating Unit Business Manager.
**Business Management Manager 1** roles and responsibilities include, but are not limited to, the following:
+ Program resource management, including cost estimation, allocation, tracking, and analysis
+ Preparation and presentation of financial data to internal and external customers, including variance analysis and forecast
+ Compliance and financial reporting in support of Annual Operating Plan (AOP) and monthly Indicated Finals (IF)
+ EVMS oversight with COBRA reporting to satisfy internal/external deliverables.
+ Comprehensive Estimate-at-Complete (CEAC) preparation and review
+ Assistance with contract negotiations
+ Support of pricing and proposal activities
+ Review of contractual documents, including Technical Task Orders
+ Subcontractor invoicing and funding
+ On-going collaboration with the customer and functional teams
+ Management and development of Program Control Analyst (PCA) staff
**Basic Qualifications:**
To be considered for this position, you must minimally meet the knowledge, skills, and abilities listed below:
+ Bachelor's degree with 6 years of professional industry related experience - OR - a Master's degree with 4 years of professional industry related experience.
+ Will consider 10 years of applied experience in lieu of degree requirement
+ Prior experience in finance, accounting and/or program control
+ Proficient in Microsoft Office suite and SAP
+ Prior experience preparing and presenting financial analysis to team and/or management.
**Preferred Qualifications:**
Candidates with these desired skills will be given preferential consideration:
+ Bachelor's degree in Business Administration, Accounting, Finance, or a related discipline
+ MBA certification
+ Previous experience managing/supervising program, project, or personnel. This may be a lead position on a team.
+ Experience Business Warehouse (BW)
+ Experience with Earned Value and performance measurement baseline concepts and ability to apply them to multiple contracts, CLINs and control accounts.
+ Prior experience in a Program Control Environment - setting up cost control system, and monitoring costs and schedules on contracts requiring validated cost schedule control system
+ Understanding of DOD contracts, government Cost Accounting Standards, and the FAR
+ Experience with Cognos forecasting system
**What We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $104,600 - $156,800
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Aurora) Principal Systems / Sr Principal Systems Engineer2024-03-12T06:15:33-04:00https://northropgrumman.jobs/2F24DB4DCF924AE3A7BE409D462F651326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Job Description
The Payload and Ground Systems, a division within Northrop Grumman's Space Systems Sector is known for pushing the boundaries of innovation, redefining engineering capabilities, and driving advances in various sciences through cutting edge technology. Our team is chartered with providing the skills, and innovative technologies to develop, design, produce, and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
Northrop Grumman Space Systems is seeking a Principal or Senior Principal Systems Engineer to join our team supporting our RUSHMORE program. This position would be based in the **Aurora, Colorado** area at **Buckley Space Force Base** .
This role performs functional analysis, timeline analysis, detail trade studies, requirements allocation, and interface definition studies to translate customer requirements into hardware and software specifications.
Job responsibilities will include, but not be limited to, the following:
+ Performs technical planning, system integration, verification and validation, cost and risk, and supportability and effectiveness analyses for total systems.
+ Analyses are performed at all levels of the total system product to include: concept, design, fabrication, test, installation, operation, maintenance, and disposal.
+ Ensures the logical and systematic conversion of customer or product requirements into total systems solutions that acknowledge technical, schedule, and cost constraints.
**This position requires being onsite and does not support remote or hybrid work.**
This requisition may be filled at either a level 3 or a level 4, depending on the candidate's experience as laid out in the basic qualifications detailed below as well as the interview assessment.
**Basic Qualifications**
+ Level 3 ( Principal level ) : 5 Years of relevant experience with a completed Bachelor's-STEM degree; 3 Years of relevant experience with a completed Masters's-STEM degree; 0 Years of relevant experience with a completed PhD-STEM degree; [completed STEM degree is required to be considered for this role]
+ Level 4 ( Sr Principal level ) : 9 Years of relevant experience with a completed Bachelor's-STEM degree; 7 Years of relevant experience with a completed Masters's-STEM degree; 4 Years of relevant experience with a completed PhD-STEM degree; [completed STEM degree is required to be considered for this role]
+ **Must be able to obtain and maintain a Top Secret DoD security clearance with SCI access**
+ **Must be able to successfully complete a Counterintelligence polygraph**
+ Willingness to work a non-traditional work week that will include occasional 12-hour shifts and weekends
+ Experience in Linux
+ Experience with scripting languages such as Perl or MATLAB
**Preferred Qualifications**
+ Prior operations and/or systems engineering experience
+ Experience working in a geographically diverse team and matrix organization
+ Experience with Atlassian tool suite (e.g. Confluence, JIRA, etc.)
+ Experience with Linux OS and scripting tools
To better support our employee's work/life balance, most NG worksites support a 9/80 work schedule. The 9/80 schedule allows employees to work a nine-hour day Monday through Thursday and take every other Friday off. This schedule or other work-hour flexibility options can be determined upon hire.
With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on Earth every day, now and for the future. Explore your future and launch your career today. #definingpossible
**Salary Range:** $102,400 - $153,600
**Salary Range 2:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-OH-Wright Patterson AFB) Sr. Principal VMWare Systems Engineer2024-03-12T06:15:30-04:00https://northropgrumman.jobs/2B5B1016A0BE45A8BEB8EE5F4D693D2B26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman is seeking a **VMware NSX Expert** to maintain and enhance critical production VMware infrastructure for the National Air and Space Intelligence Center (NASIC) at **Wright Patterson Air Force Base, OH** . NASIC is responsible for creating all-source intelligence defining the present and future air, air defense, cyber, space and ballistic missile threats to enable military operations, force modernization, and policy making. Successful candidates will clearly demonstrate strong technical skills with VMware Networking & Security Virtualization (NSX) products as well as broad knowledge of related VMware tools such as vRA, VSC, and others. They should have a track record as an effective communicator and problem solver who is able to develop and maintain good working relationships with internal and external stakeholders.
**Summary of Responsibility**
Job duties consist of managing and enhancing NASIC's VMware environment. This will include NSX networking and security implementations, storage and network management, software installing, performance monitoring and optimization activities. The candidate will work to maximize performance, stability, and efficiency of the VMware architecture. They will act as the Networking & Security Virtualization (NSX) expert on a team with other VMware experts in Virtual Storage Console (VSC) and vRealize Automation (vRA).
**Basic Qualifications:**
+ Bachelor's degree in a Science, Technology, Engineering or Math discipline with 9 years' related experience OR master's degree in a Science, Technology, Engineering or Math discipline with 7 years' related experience.
+ U.S. citizenship with active TS/SCI security clearance.
+ Active CompTIA Security+, CASP, or CISSP certification.
+ Expert level experience in VMware Networking & Security Virtualization (NSX).
+ 5+ years of demonstrated experience with modern VMware releases.
+ Excellent problem solving, analytic, and communication skills.
+ Strong understanding of network protocols, security principles, and virtualization technologies.
+ Broad knowledge of systems administration to include VMware, OS, networking, storage, performance tuning, and general administration.
**Preferred Qualifications:**
+ VMware Certified Professional (VCP) certification in NSX.
+ Operating System Certification (Linux+, RHCSA, NCCNA, Net+, VMware Certified, etc.)
+ Virtual Storage Console (VSC) experience
+ vRealize Automation (vRA) experience
+ Scripting experience with vRO (PowerShell, Python, JavaScript).
+ Experience administrating Red Hat Enterprise Linux 7 (or equivalent) or above.
This position description does not represent a current opening but may be used to identify candidates with skills and experience for positions within Northrop Grumman that frequently become available. Candidates who express an interest may be considered for future positions at Northrop Grumman.
**Salary Range:** $121,000 - $181,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Cape Canaveral) Project Management2024-03-12T06:15:29-04:00https://northropgrumman.jobs/A4689C79496A4CDF8ABFB04F63CA7C2626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Mission Systems (NGMS)** is looking for a **Logistics** **Project Manager 2** to join our team in **Cape Canaveral, FL** working with the U.S. Navy. This role will be supporting our Launcher Systems Logistics operations for U.S. Navy OHIO and COLUMBIA class ballistic missile submarine programs.
What You'll Get to Do:
• Develop mechanisms for monitoring project progress and for intervention and problem solving with project managers, field engineers, the Strategic Systems Program office, and prime contractor.
• Serve as logistics liaison between the field engineers, the Strategic Systems Program, and prime contractors at build yards, shipyards, and test facilities.
• Assesses project issues and develop resolutions to meet productivity, quality, and client-satisfaction goals and objectives.
• Coordinate tactical hardware and support equipment deliveries to the active Navy and shipyards.
• Plan and coordinate with shipyards for the receipt, inspection, and storage of launcher hardware, support equipment, and I&C Spares.
• Manage provisioning conferences and formal provisioning.
• Prepare and submit data items in accordance with DoD requirements and contracts.
• Compile data from a variety of sources to develop material requirements and spares quantities.
• Support Launcher Equipment Requirements Chart (LERC) changes and attend meetings. Complete actions items from the LERC as required.
• Support cross decking plan to include the movement, stowage, and re-issue of designated components from the legacy submarines to new construction submarines.
• Monitor supply support effectiveness through document reviews, identify issues and provide recommended solutions.
• Prepare significant data analysis in accordance with DoD requirements and contracts.
MANUMS
\#NGFeaturedJobs
**Basic Qualifications:**
• Bachelors Degree with 3+ years of logistics experience or a Masters Degree with 1+ year of logistics experience (Note: in lieu of a Bachelors Degree, we are considering an Associates Degree with 5+ years of experience or a High School Diploma and 7+ years of experience total).
• Prior experience working with cross-functional organizations (Program Office, Engineering, Manufacturing, Purchasing, Business Management, Field Operations, etc.)
• Proficiency with Microsoft Office suite and SAP MRP (or similar) system.
• Must be a US Citizenship with the ability to obtain an interim secret clearance .
**Preferred Qualifications:**
• Experience with Navy Supply system with expertise in a variety of Logistics support functions, including inventory management and provisioning.
• Experience working with the Department of Defense Logistics system.
• Exposure to a variety of Logistics support functions, including inventory management and provisioning.
• Working knowledge of customer compliance documents, government instructions, and government standards.
• Knowledge of government contracts and related documents.
• Experience with MS Project and MS Visio.
• Active Secret Clearance.
**Salary Range:** $62,200 - $93,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Northridge) Project Manager 32024-03-12T06:15:29-04:00https://northropgrumman.jobs/B1915EAF577642D980353F82E0FBC76226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Oversees and manages the operational aspects of ongoing projects and serves as liaison between project management and planning, project team, and line management. Reviews status of projects and budgets; manages schedules and prepares status reports. Assesses project issues and develops resolutions to meet productivity, quality, and client-satisfaction goals and objectives. Develops mechanisms for monitoring project progress and for intervention and problem solving with project managers, line managers, and clients.
Knowledge, Skills and Ability
Complete understanding and application of principles, concepts, practices, and standards. Full knowledge of industry practices. Independently demonstrates the skill and ability to perform fairly complex professional tasks.
Problem Solving - Develops solutions to a variety of complex problems. May refer to established precedents and policies.
Performs fairly complex tasks and participates in determining objectives of assignment. Plan schedules and arranges own activities in accomplishing objectives. Work is reviewed upon completion for adequacy in meeting objectives.
Exerts some influence on the overall objectives and long-range goals of the organization. Erroneous decisions or failure to achieve objectives would normally have a serious effect upon the administration of the organization.
Represents organization as a prime contact on contracts or projects. Interacts with senior internal and external personnel on significant matters often requiring coordination between organizations. May develop and deliver presentations.
Basic Qualifications:
+ Bachelor's Degree and a minimum of 6 years of experience
+ Must be able to acquire a DoD Secret Clearance
+ Demonstrated experience with SharePoint Development
+ Proficient in the Word, Excel and PowerPoint
Preferred Qualifications:
+ Active DoD Secret Clearance or Higher
+ Familiarity with USG procurement process
Salary Range: $88,700.00 - $133,100.00
**Salary Range:** $88,700 - $133,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) Manager Programs 3 (Program Integration)2024-03-12T06:15:29-04:00https://northropgrumman.jobs/FFB669735E5C4FD5A1DABCCD9BA387C826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Aerospace Structur** **es Business Unit (ASBU)** has an opening for a **Manager Programs 3** (Program Integration) to join our team of qualified, diverse individuals. This position will be located in **Clearfield, UT** .
**Position Description:**
This position will report to the Director Program Integration & Strategic Business Operations . This position oversees and manages ongoing division operations to include the establishment and ongoing maintenance of the overall strategic rhythm to build a productive management cadence that ensures the visibility of our critical development and high production rate programs are achieved per plan.
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement and respond vigorously to change.
**Essential Responsibilities:**
+ Drives a horizontal and vertical integration action between the Programs and Functions to ensure the health of our people, processes and tools are being addressed in our programs
+ Establishes top-level risks, issues and critical actions are being addressed down into the organization and that performance dashboards are created to create transparent visibility from programs up through sector and corporate
+ Support the top-level development of strategies in alignment with the programs to create ASBU Long Range Strategic Plan (LRSP), Annual Operating Plan (AOP) and the corresponding investment requirements needed, e.g. Capital, Indirect and Non-Contractual Technical Activity (NCTA), including the the formal development and delivery of these products to the sector
+ Other monthly deliverables to Division will be the update and submission of Aerospace Systems Priority Watch Items in support of the Corporate Executive Leadership Team (ELT), NGAS Key Programs metrics update and approval in the NGPerform tool, review of Non-Financial goals for Customer Happiness and Quality metrics, etc.
+ Other special assignments on areas of critical importance
**Basic Qualifications:**
+ Bachelor's Degree and 9 years of relevant experience; OR a Master's Degree and 7 years of relevant experience; OR a PhD and 5 years of relevant experience
+ Proven communication and leadership skills and e xperience leading small teams in a dynamic work environment
+ Ability to obtain and maintain a DoD secret security clearance and special program access
+ Experience in working with subcontractors and customers at all levels
+ Demonstrated experience in program reporting
+ Ability to travel up to 25%
**Preferred Qualifications:**
+ Active DoD secret security clearance
+ Special Program Access (SAP)
+ Working Knowledge of Northrop Grumman Web Based Reporting Systems
+ Proven experience managing a team in a dynamic work environment
+ Ability to develop relationships and operate in a collaborative team environment
+ Experience with diverse program types
+ Strong collaboration skills needed to get results within ASBU and with Air Dominance / NGAS
+ Demonstrated understanding of program risk, opportunity and issue management process
**What We Offer:**
At Northrop Grumman, we are on the cutting edge of innovation -- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, phenomenal learning opportunities, and exposure to a wide variety of projects and customers. We also offer exceptional benefits/healthcare, a great 401K matching program, and 14 Employee Resource Groups inclusive of all employees!
\#ASBUJobs
**Salary Range:** $156,000 - $234,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Melbourne) Systems Engineer - Nuclear Surety (Melbourne FL)2024-03-12T06:15:28-04:00https://northropgrumman.jobs/0E1EFE49BA474A6EBAD03E083F2860B826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a level 2 Nuclear Surety Systems Engineer to join our team of qualified, diverse individuals. The position will be **located in Melbourne, Florida.**
The successful candidate will be supporting the Systems Engineering Nuclear Surety Team. The candidate will perform and support evaluations of designs for nuclear surety requirements compliance. They should be comfortable with requirement derivation, verification processes, system architecture examinations, and communication across numerous technical disciplines. They will support IPTs on the implementation and demonstration of nuclear surety requirement compliance to include hardware, software, and test support.
The successful candidate will support Nuclear Safety Design Certification activities to produce required artifacts in accordance with AFI 63-125, lead and support nuclear surety planning, certification assessments, and risk & vulnerability activities to include Fault Tree and Signal Path analyses. They will also coordinate with key stakeholders such as AFNWC, AFSEC, NNSA, and AFGSC.
We offer flexible work arrangements, 9/80 work schedule with every other Friday off, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do.
This position is contingent upon verification of DoD clearance and obtainment of Special Access Program (SAP) clearance prior to start.
Basic Qualifications:
- Bachelor of Science Degree in a STEM (Science, Technology, Engineering, or Mathematics) discipline with 2 years of engineering experience OR Master of Science Degree in STEM with 0 years of engineering experience.
- Experience with military aircraft design and/or operation to include requirements verification and certification
- Must have an **active DoD Secret** clearance or higher
- Must have the ability to obtain and maintain Special Access Program (SAP) clearance.
Preferred Qualifications:
- Experience working with Nuclear Surety Requirements (e.g. AFI 63-125, AFMAN 91-118/91-119, AFI 91-107)
- Experience with Fault Tree Analyses, Signal Path Analyses, and Technical Risk Assessments.
- Experience with Model-Based Systems Engineering (MBSE).
- Experience with nuclear certification stakeholders such as AFNWC, AFSEC, NNSA, and AFGSC.
- Experience with software-centric systems and embedded processing
**Salary Range:** $75,300 - $112,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Goleta) Shipping and Receiving Coordinator 2 - Deployables2024-03-12T06:15:27-04:00https://northropgrumman.jobs/9DC650D4C94B4D09B80D5F540979C34126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
An organization within Northrop Grumman's Payload and Ground Systems (PGS) division, Mission Enabling Products is a leading designer, producer, and supplier of spacecraft components that power and enable satellites of all classes. Products include spacecraft panels, bus structures, precision optical structures, deployable structural systems and mechanisms, solar arrays, and antenna reflectors. Our products are on virtually every U.S. satellite built in the last 20 years.
Learn more about Mission Enabling Products at our website: https://www.northropgrumman.com/space/spacecraft-components/ .
**We are seeking a Shipping & Receiving Coordinator 2 to join our team of qualified, diverse individuals. This position will be located in Goleta CA, and will be on 1st shift on 9/80 schedule**
**Core Responsibilities:**
+ Process incoming receipts daily in ERP system accurately
+ Verify material received to determine any irregularities from order and resolve issues.
+ Conduct inventory cycle counts based on department CC schedule
+ Investigate discrepancies in stock cycle counts.
+ Obtain and process product orders, including receiving deliveries, registering them and distributing packages and letters within the company
+ Maintain the company stockroom through organizing, cleaning and cataloging items on a regular basis
+ Schedule large shipments and plan logistics to ensure that there is sufficient storage space for incoming items and staff on hand to manage the shipments
+ Maintain files of goods shipped and received by preparing regular shipping and receiving reports for managers
+ Resolve issues arising in shipping or inventory handling
+ Ensure adherence to environmental management and systems
+ Troubleshoot shipping issues and customer complaints that arise and work to solve them
+ Place merchandise in a warehouse or storage facility by packaging, lifting items as necessary, operating forklifts and pallet jacks for large goods
+ Parts and assembly kitting
**Basic Qualifications:**
+ High school diploma or GED and at least 2 years of additional education and/or related experience
+ Must be a U.S. Citizen.
+ Ability to operate warehouse machinery such as forklifts
+ Must be able to lift up to 25 lbs., lifting, bending, sitting, pulling, pushing, stretching and carrying are generally required to perform the functions of this position
+ Must be willing and able to work Overtime and weekends as needed to meet business needs.
+ Must possess a California class "C" driver's license
**Preferred Qualifications:**
+ 2 or more years of inventory experience preferred
+ Experience with Microsoft Office
+ Familiarity with Cost Point (ERP system)
+ Aerospace/defense experience
+ Detail-oriented and multitasking skills
+ Strong interpersonal and communication skills
+ Excellent organization and administrative skills
+ Integrity and leadership skills
+ Exemplary customer care skills
+ Good analytical and problem-solving skills
**Salary Range:** $34,500 - $57,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Aurora) Software Engineer/ Principal Software Engineer2024-03-12T06:15:27-04:00https://northropgrumman.jobs/D06821CFE213453981498FE9104B501D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, and innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today. #definingpossible
Northrop Grumman's RUSHMORE Program is seeking **Software Engineers** to join our team based in **Aurora, CO at the Buckley Space Force Base** .
As a Software Engineer, you will perform maintenance, integration, and development of a complex software system.
What you will get to do:
+ Perform maintenance and sustainment engineering for existing baselines and tools.
+ Coordinate and perform software builds and deployments.
+ Perform troubleshooting, problem-solving, and system integration.
+ Develop and maintain software using Perl and TCL as well as Python, Java, and C++.
+ Coordination of deliveries from the software factory.
+ Work with a small team that is highly energized and has significant software
+ Maintenance and development effort on a growing
+ Perform testing of new software builds at the unit and system level.
+ Perform checkout of new software builds delivered from factory to ensure discrepancy
+ Report (DR) fixes are valid
**This position requires being onsite and does not support remote or hybrid work.**
This requisition may be filled at either a level 2 or a level 3, depending on the candidate's education and experience as laid out in the basic qualifications detailed below as well as the interview assessment.
**Basic Qualifications**
+ Level 2:
+ 2 Years of relevant experience with a completed Bachelor's degree; 0 Years of relevant experience with a completed Masters's degree; An additional 4 years of relevant work experience may be considered in lieu of a completed degree (High School diploma or equivalent is required with additional experience)
+ Level 3:
+ 5 Years of relevant experience with a completed Bachelor's degree; 3 Years of relevant experience with a completed Masters's degree; An additional 4 years of relevant work experience may be considered in lieu of a completed degree (High School diploma or equivalent is required with additional experience)
+ **Must be able to obtain a Top-Secret DoD Clearance with SCI access prior to start** (US Citizenship is required)
+ **Must be able to successfully pass a Counterintelligence polygraph prior to start**
+ Experience with software maintenance and sustainment
+ Software deployment and coordination experience and ability to support external partners during the installation and build process
+ Experience working in a Linux environment
+ Ability to work occasional 12 hour shifts
+ 5:30am to 5:30pm in support of mission requirements
+ Willing to perform on-call duties on an as needed basis
**Preferred Qualifications**
+ Continuous Integration / Continuous Deployment experience
+ Experience with Perl, TCL, Python Java and C++ software development
+ Troubleshooting skills and ability to use software debuggers
+ Knowledge of object-oriented design
+ Knowledge of database administration (Postgresql or Oracle)
+ Experience with Version Control Software Git
+ Experience with working in Virtualized Environments (VMs)
+ Experience with Atlassian tools (JIRA, Confluence, Bitbucket)
+ Ability to work as an effective member of a badgeless team, be self-motivated, and work under general direction while independently determining and developing approaches to solutions
To better support our employee's work/life balance, most NG worksites support a 9/80 work schedule. The 9/80 schedule allows employees to work a nine-hour day Monday through Thursday and take every other Friday off. This schedule or other work-hour flexibility options can be determined upon hire.
With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on Earth every day, now and for the future. Explore your future and launch your career today. #definingpossible
**Salary Range:** $83,300 - $124,900
**Salary Range 2:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(AUS-Amberley) Training and Development Specialist2024-03-12T06:15:26-04:00https://northropgrumman.jobs/895C31009DFA43BF87193F0B80B68CF826We're building a reputation as the place to be in Defence. With strong local management, sound investment and a fresh approach, we're on an exciting trajectory and building unique capability. Leveraging the kind of leading-edge US capabilities and technology most can only imagine our close-knit 800-strong team is busy delivering World-leading innovative and sustainable solutions to safeguard Australia's future. Together we're growing a business where everyone contributes, and everyone matters.
Northrop Grumman Australia partners with the Royal Australian Air Force to provide through-life support for its fleet of C-27J Spartan Airlifter aircraft. The C-27J complements the Australian Defence Force's existing Air Mobility fleet and provides airlift of people, equipment and supplies to support Humanitarian Disaster Relief and crisis response in Australia and nearby regions.
**Your Role:**
Part of Northrop Grumman's responsibility as C-27J Platform Steward is to introduce delivery of Maintenance and GSE/S&TE training and program support for the C-27J Training Program in accordance with regulatory requirements.
A unique opportunity has arisen to support the continual growth of the program. As part of the Training Team, you will be responsible to the Training Manager to develop and evaluate C-27J Maintenance Training Courses within the constraints of the applicable RAAF Learning Management Plan (LMP).
Having previously have hands on experience working on aircraft you will also support ongoing maintenance requirements of the C-27J Fuselage Trainer (FuT) and provide support to the Technical Instructor.
**What we Offer:**
Whatever your role, life stage or background, you can shape your career here in your way with highly challenging work, great colleagues, and career development, plus a range of flexible benefits and working patterns.
+ Professional Development -further education, leadership development, professional industry memberships and unlimited access to a range of online training
+ Options for flexible & hybrid work including 9-day fortnight.
+ Additional purchased annual leave
+ Salary packaging including novated car leases.
+ Generous paid parental leave
+ Volunteer Leave
+ Health & Wellbeing program
+ Employee Assistance Program
+ Employee Discount Program.
Defining Possible is our mantra and we are committed to making sure that works for our people's careers too. What's Your Possible?
**Everyone Matters:**
Doing the Right Thing and Sharing Success are two values underpinning how we behave at Northrop Grumman. Here, everyone matters, and we proudly encourage individuals from all backgrounds and all abilities to apply to join our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.
As a Defence security clearance is required for this role, applicants must be Australian Citizens. International Traffic in Arms Regulations (ITAR) are applicable, as such your nationality may be a factor in determining your suitability for this role. You will need to satisfy pre-employment drug & alcohol testing; police checks and employment screening verification.
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.(USA-CA-Sunnyvale) Principal or Senior Principal Program Cost Control Analyst2024-03-12T06:15:26-04:00https://northropgrumman.jobs/E9212C87A32C40E39820D35B6679E34926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
We're looking for you to join our team as a **Principal Program Cost Control Analyst or a Senior Principal Program Cost Control Analyst** based out of **Sunnyvale, CA** **.** This position may be staffed as a hybrid role from the Sunnyvale, CA area. This position offers the 9/80 schedule. Full-Time telework may be considered for this role.
**What You'll Get to Do**
The **Principal or Senior Principal Program Cost Control Analyst (PCA)** support a Level 01 EVMS Level program within the Launcher Operating Unit in Marine Systems reporting to the program Business Manager.
The **PCA** will interface with the program managers, functional management, cost account managers (CAMs) and business managers to provide financial support and analysis to meet program requirements. They will will prepare budgets and schedules for contract work and assist in financial analyses such as funding profiles, sales outlook, cash forecasting, and variance analysis.
The **PCA** will monitor costs and schedules on contracts requiring validated cost schedule control system. This will include performing perform Earned Value Metric (EVM) tasks such as Work Breakdown Structure (WBS) development, budget baseline, cost risk analysis/ assessment and visibility reports, review of Variance Analysis Reports (VARs), development and analysis of estimates to complete.
The **PCA** will perform analysis and prepare external reports to ensure that contracts are within negotiated and agreed-upon parameters and government cost control guidelines, including maintaining accurate records of expenditures, directing preparation of expenditure projections, preparing a monthly Integrated Program Management Report (IPMR), and submitting timely requests for additional funding to the government.
The **PCA** will support audits and reviews as requested by programs, customers, and company management, support Annual Operating Plan, Resource Plan, and Long Range Strategic Plan activity, and prepare financial inputs to internal reports and presentations (IPRS, PMRs, CEACs, Etc.)
**This requisition may be filled as a Principal Program Cost Control Analyst or a Senior Principal Program Cost Control Analyst.**
**Basic Qualifications for a Principal Program Cost Control Analyst**
+ Bachelor's degree with 6 years of related professional experience - OR - a Master's degree with 4 years of related professional experience
+ Will consider 10 years of applied experience in lieu of degree requirement.
+ Experience with financial systems including cost performance reporting and analysis
+ Strong PC skills - specifically Excel proficiency (including pivot tables, Vlookup, and conditional formatting)
+ Experience with Earned Value Management.
+ Ability to travel domestically 10% of the time.
+ US Citizenship is required
+ The ability to obtain a DoD Secret clearance is required.
**Basic Qualifications for a Senior Principal Program Cost Control Analyst**
+ Bachelor's degree with 10 years of related professional experience - OR - a Master's degree with 8 years of related professional experience
+ Will consider 14 years of applied experience in lieu of degree requirement.
+ Experience with financial systems including cost performance reporting and analysis
+ Strong PC skills - specifically Excel proficiency (including pivot tables, Vlookup, and conditional formatting)
+ Experience with Earned Value Management.
+ Ability to travel domestically 10% of the time.
+ US Citizenship is required
+ The ability to obtain a DoD Secret clearance is required.
**Preferred Qualifications:**
+ Degree in Finance, Accounting, or Business Administration or related field
+ Experience with COBRA, COGNOS, SAP
+ Experience with Earned Value and performance measurement baseline concepts and ability to apply them to multiple contracts, CLINs and control accounts.
+ Current, active DoD Secret Clearance
**What We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Salary Range:** $80,600 - $133,100
**Salary Range 2:** $99,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) General Manufacturing Manager 12024-03-12T06:15:25-04:00https://northropgrumman.jobs/24F9B6BA63F94436864CAC9507967BA726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Mission Systems** is seeking a **General Manufacturing Manager 1** to join our **Final Assembly Manufacturing Team** at our new facility in **Troy Hill, MD.**
The **General Manufacturing Manager 1** is responsible for the direct supervision of approximately 15 -25 represented (union) employees and processes in a Manufacturing environment.
You will work independently across organizations; manage the use of consumables to achieve budget targets; assign and manage represented EMS Operators and/or Sensors & Systems Technicians to maximize efficiency and achieve target productive yields; implement our process improvements to improve affordability, quality, and schedule; and perform required SAP/MES transactions to manage Operator and/or M&P Specialist.
**What You'll get to Do:**
+ Providing direction to employees utilizing management guidelines and general policies
+ Discussing test details and results with test technicians and engineering
+ Driving employee efficiency
+ Meeting product cost and schedule targets
+ Managing the area to produce quality products
+ Engaging employees to achieve their highest potential
+ Implementing process improvements to improve affordability, quality, and schedule.
+ Performing required SAP/MES transactions
The ideal candidate will have demonstrated leadership skills and ability, strong communication skills, and the ability to multitask in a fast-paced environment.
**Basic Qualifications M1:**
+ Bachelor's Degree with a minimum of 5 years of professional experience; Master's Degree with 3 years of experience; PhD with 0 years of experience (Note, 9+ years of work experience may be considered in lieu of degree)
+ 3+ years of experience in a manufacturing, production, or engineering environment
+ 2+ years of experience in management or leadership of people and/or projects
+ Must be able to obtain and maintain a U.S. Secret Level Security Clearance for which U.S. citizenship is required
**Preferred Qualifications M1:**
+ Bachelor's Degree in a STEM (Science, Technology, Engineering or Math) or Business area
+ Experience with SAP/MES
+ Experience with ISO, LEAN/WCM, and problem-solving methodologies
+ 5 or more years of manufacturing experience
+ Prior experience working in a represented (union) environment
**What We Can Offer You**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
**NGFeaturedJobs**
MANUMS
Northrop Grumman has approximately 90,000 employees in all 50 states and in more than 27 countries. We strive to attract and retain the best employees by providing an inclusive work environment wherein employees are receptive to diverse ideas, perspectives, and talents to help solve our toughest customer challenges: to develop and maintain some of the most technically sophisticated products, programs, and services in the world.
Our Values . The women and men of Northrop Grumman Corporation are guided by Our Values. They describe our company as we want it to be. We want our decisions and actions to demonstrate these Values. We believe that putting Our Values into practice creates long-term benefits for shareholders, customers, employees, suppliers, and the communities we serve.
Our Responsibility . At Northrop Grumman, we are committed to maintaining the highest of ethical standards, embracing diversity and inclusion, protecting the environment, and striving to be an ideal corporate citizen in the community and in the world.
**Salary Range:** $90,700 - $136,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Aurora) Principal Systems Engineer Test/Sr. Principal Systems Engineer Test2024-03-12T06:15:25-04:00https://northropgrumman.jobs/A3D0A95DDB85471B96603EBA5A7F652926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
Northrop Grumman Space Systems (NGSP) is seeking an experienced **Principal Engineer Systems Test/Sr. Principal Engineer Systems Test** for their **Aurora, CO or Morrisville, NC or Fairfax, VA or Linthicum, MD** sites **.** The ideal candidate must have the ability to understand, define, and develop ground system specifications, interfaces, processing platforms, and virtualized compute infrastructure requirements. The candidate will also be responsible for ground systems architecture by defining, adapting and deploying ground software applications and mission data management across virtualized and distributed infrastructure.
The successful candidate shall perform technical planning, system integration, verification and validation, cost and risk, and supportability and effectiveness analyses for total systems. Analyses are performed at all levels of total system product to include: concept, design, fabrication, test, installation, operation, maintenance and disposal. Ensures the logical and systematic conversion of customer or product requirements into total systems solutions that acknowledge technical, schedule, and cost constraints. Perform functional analysis, timeline analysis, detail trade studies, requirements allocation and interface definition studies to translate customer requirements into hardware and software specifications as needed.
Responsibilities may include coordinating and conducting system engineering activities (e.g. SRR, SDR, PDR, CDR, etc.) and other ground segment support activities. System engineering product deliveries include: Interface Control Documents (ICDs), trade study reports (TSRs), simulation & analysis, and other supporting reportable documentation.
Responsibilities may also include the following:
• Derive mission threads and use cases.
• Define system functions and trace to requirements.
• Perform ground segment requirements management and development for Mission Management and Mission Processing
• Facilitate subject matter experts, stakeholders, and customers throughout the process of developing architectural specifications.
• Present technical information to mission partners, customers, and internal stakeholders
• Develop program/mission specific ground specifications and ICDs
• Hold weekly / regular meetings with ground stakeholders
• Coordinate end-to-end testing during the Integration & Test (I&T) phase
• Support design Verification & Validation (V&V) activities
• Ground to Ground network communications design, implementation & testing
This requisition may be filled at a higher grade based on qualifications listed below.
**Basic Qualifications:**
This position may be filled at Principal Systems Engineer or Principal Software Engineer.
**Basic Qualifications:**
+ **Principal Engineer Systems Test** : Bachelor's degree with 5 years of relevant experience, OR Master's degree with 3 years, or PhD with 0 years. An additional 4 years of relevant experience may be considered in lieu of a degree.
+ **Sr. Principal Engineer Systems Test** : Bachelor's degree with 9 years of relevant experience, OR Master's degree with 7 years, or PhD with 4 years. An additional 4 years of relevant experience may be considered in lieu of a degree.
+ Active TS/SCI and willingness to sit for a poly
**Preferred Qualifications:**
• Experience using software version control such as Git (GitLab or BitBucket)
• Experience using Atlassian tools (JIRA, Confluence, Bitbucket)
• Familiarity with Commercial Cloud Services (C2S) or Amazon Web Services (AWS)
• Experience with automated testing using tools such as Behave
• Experience using Continuous Integration/Continuous Delivery (CI/CD) pipelines
• Knowledge of a software development language such a C++, Java, or Python
• Familiarity with geospatial data, geospatial processing, and/or remote sensing
• Space Vehicle to Ground and Ground to Space communication design, implementation & testing experience.
**Salary Range:** $88,600 - $146,300
**Salary Range 2:** $109,900 - $181,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Senior Principal Systems Engineer2024-03-12T06:15:24-04:00https://northropgrumman.jobs/6022972A4A9F4E63BF0867D5C66CDEA426At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
As an integral part of our Built-in-Test (BIT) / Calibration Systems Engineer ing team you will apply strong systems engineering knowledge and understanding across disciplines and work with the various systems, hardware, and software teams.
This position requires up to **100% on-site work in the Linthicum/Baltimore, Maryland** campus and the ability to pass an enhanced security review.
**Roles & Responsibilities:**
+ Confirm BIT Mode functions or systems are working properly and Calibrations allow capture and correction or compensation for any errors so that sensor performance levels are not impacted.
+ Derive BIT/Calibration requirements
+ Design of the BIT/diagnostic and calibration mode
+ Test effectiveness of modeling and analysis
+ Develop an in-depth understanding of Sensors Hardware capability
+ Software development and integration utilizing C++ and python
+ Define requirements to maintain system performance.
+ Verify embedded implementation of the BIT/Calibration mode.
+ Produce publication-quality documentation and supporting Factory Test activities
+ System Integration & Test, and field support
**Basic Qualifications:**
+ Bachelor's degree with 9 years of experience, Master's degree with 7 years of experience or a PhD with 4 years of experience in Electrical Engineering, Systems Engineering, Software Engineering, Computer Engineering, Physics, Mathematics or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S Citizenship is required.
+ A final DoD Secret Clearance and Special Program Access (SAP) is required prior to start.
+ Experience with MATLAB for Data Analysis
**Preferred Qualifications:**
+ Advanced degrees in Electrical Engineering, Systems Engineering, Software Engineering, Computer Engineering, Physics, Mathematics or related technical fields.
+ Active DoD Secret Clearance or higher
+ Domain experience in sensor simulations for RF sensors
+ Experience with integration and test of RADAR, SIGINT, or EW systems.
+ Experience programming in C/C++.
This position is contingent upon the ability to obtain/maintain a final DoD Secret Clearance and Special Program Access (SAP) prior to starting.
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Salary Range:** $127,000 - $190,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-McClellan) Principal or Sr Principal Electrical - Mechanical Engineer (Cable Design)2024-03-12T06:15:24-04:00https://northropgrumman.jobs/836BB8A6A119467CA9FA332307AD707E26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is a trusted provider of mission-enabling solutions for global security. Our Engineering and Sciences (E&S) organization pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission!
We are looking for you to join our team as a **Principal** or **Sr Principal Electrical - Mechanical Engineer (Cable Design)** based out of **McClellan, CA** in the Sacramento area or **San Jose, CA.**
**What You'll get to Do:**
+ Apply electrical, electronic and mechanical principles to components and systems, including assembly, analysis, and documentation of results; construction of developmental assemblies, sub-assemblies and components; and quality testing
+ Support 3D cable routing, Wiring Diagrams, and Interconnect Diagrams
+ Support and participate in the design, test, modification, fabrication and assembly of prototype electromechanical systems
+ Package CCAs and electronic modules for qualified use in demanding airborne environments
+ Identify and synthesize requirements using a system engineering approach to provide novel solutions
+ Perform structural and thermal analyses using hand calculations and FEA to validate designs
+ Incorporate design for manufacturing principles to drive down product costs and assembly time
+ Provide engineering oversight during prototype and production hardware assembly & test
+ Develop preliminary and critical design review content for peer and customer presentations
+ Create technical data packages including fabrication, source control, and assembly drawings
+ Employ strong interpersonal and communication skills while operating within an integrated product team
+ Promote knowledge transfer within the organization by sharing of lessons learned and best practices
+ Provide as needed engineering support to material review boards and failure review boards
+ Work with agility and minimal direction to complete program tasks on-time; failure to obtain results or erroneous decisions or recommendations would typically result in serious program delays and considerable expenditure of resources
This position may be filled as a Principal Electrical - Mechanical Engineer (Cable Design) or Sr Principal Electrical - Mechanical Engineer (Cable Design).
**Basic Qualifications for a** **Principal Electrical - Mechanical Engineer (Cable Design)** **:**
+ BS in a STEM discipline (Science, Technology, Engineering or Math) with 5+ years of relevant work experience; or Masters in a STEM discipline with 3+ years of relevant work experience; or PhD in a STEM discipline with 0+ years of relevant work experience
+ Experience with CAD Design packages, PTC Cabling, Solidworks Cable Routing, NX Capital or may consider similar design tools
+ US Citizen with the ability to obtain and maintain Top Secret/SCI (access) security clearance
**Basic Qualifications for a** **Sr Principal Electrical - Mechanical Engineer (Cable Design)** **:**
+ BS in a STEM discipline (Science, Technology, Engineering or Math) with 9+ years of relevant work experience; or Masters in a STEM discipline with 7+ years of relevant work experience; or PhD in a STEM discipline with 4+ years of relevant work experience
+ Experience with CAD Design packages, PTC Cabling, Solidworks Cable Routing, NX Capital or may consider similar design tools
+ US Citizen with the ability to obtain and maintain Top Secret/SCI (access) security clearance
**Preferred Qualifications:**
+ 3D cable routing and design experience
+ Active TS/SCI security clearance
+ Degree in Mechanical or Electrical Engineering
+ 1000+ hours in CAD Design packages, PTC Cabling, Solidworks Cable Routing, and NX Capital
+ Experience with structural and thermal analysis (NASTRAN/Patran and Flotherm)
+ Diverse product development experience in flight qualified electronics packaging design
+ Proficiency with PTC Windchill PLM
+ Experience with environmental test methods per MIL-STD-810
+ Familiarity with ASME Y14.5 Geometric Dimensioning and Tolerancing
+ Exceptional attention to detail, self-motivation, and self-regulation
**Salary Range:** $107,300 - $160,900
**Salary Range 2:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-FL-Orlando) Systems/Principal Systems Engineer (AHT)2024-03-12T06:15:23-04:00https://northropgrumman.jobs/C72ADFEDC7E54174BE6D1A6B8D70630F26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems is seeking a Systems Engineer/Principal Systems Engineer with prior design and test experience to join our dynamic and diverse team environment in Orlando, FL. The selected candidate will be responsible for technical analysis, translating requirements into a proposed design, and assisting in the development and implementation of assigned features on a CDS system.
**Roles and Responsibilities:**
+ Performs technical planning, system integration, verification and validation, cost and risk, and supportability and effectiveness analyses for total systems.
+ Analyses are performed at all levels of total system product to include: concept, design, fabrication, test, installation, operation, maintenance and disposal.
+ Ensures the logical and systematic conversion of customer or product requirements into total systems solutions that acknowledge technical, schedule, and cost constraints.
+ Performs functional analysis, timeline analysis, detail trade studies, requirements allocation and interface definition studies to translate customer requirements into hardware and software specifications.
**Basic Qualifications:**
**This requisition is open as a dual level opportunity. We may fill at either an Systems Engineer (level 2) or Principal Systems Engineer (level 3).**
+ **Level 2:** Bachelor's Degree in Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited university with 2 years experience OR Master's Degree in Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited university with 0 years experience
+ **Level 3:** Bachelor's Degree in Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited university with 5 years experience OR Master's Degree in Science, Technology, Engineering, or Mathematics (STEM) discipline from an accredited university with 3 years experience
+ Strong understanding of general system engineering principles
+ Experience with Agile development
**Preferred Qualifications:**
+ Active in scope DoD Secret clearance
+ Integration and Test experience
+ Cyber experience
**Salary Range:** $75,300 - $112,900
**Salary Range 2:** $92,600 - $139,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(AUS-Edinburgh) Mechanical Structures Engineer2024-03-12T06:15:22-04:00https://northropgrumman.jobs/246C766102F244BEBD5184F5DC96744026We're building something special here in Australia. Committed to safeguarding our Country's future by solving the toughest problems in Aeronautics, Space, and Mission Systems, we're investing in our business, growing our capabilities, and nurturing a great reputation as the place to be in Defence. 'Defining Possible' is our mantra and we are committed to making sure that's as relevant for our people as for our customers.
Northrop Grumman's MQ-4C Triton Unmanned Aircraft System (UAS) provides real-time intelligence, surveillance, and reconnaissance (ISR) over vast ocean and coastal regions. The aircraft can fly for more than 24 hours at a time, at an altitude above 55,000 feet and an operational range of 8,200 nautical miles.
As Northrop Grumman Australia prepares for the arrival of the Triton UAS, we are setting up and proactively recruiting to ensure a seamless and coordinated entry into service
**Your Role:**
We are seeking a highly skilled and suitably qualified **Mechanical S** **t** **ructures Engineer** to support the MQ-4C Triton Airframe and associated Mechanical/Structural systems. With demonstrated experience working within the DASA regulatory environment, the Structures Engineer will assess and review technical information to ensure the continued airworthiness of the platform and maintain compliance accordingly.
Reporting to the Engineering and Technical Services Manager, you will also contribute to reporting requirements for Logistics/Engineering Meetings, Configuration Control Boards and Airworthiness Board forums.
Partnering with the Commonwealth, US Navy and Northrop Grumman Corporation key stakeholders, this role will assist our support strategy, and execution to meet the goals of both the Commonwealth and Northrop Grumman as we bring the MQ-4C Triton online and into service.
This position is based at RAAF Edinburgh - flexible work arrangements are available
**About You:**
As an experienced and degree qualified Mechanical/Structural Engineer, you will bring a high level of technical acumen, with a desire to drive best-practice outcomes. You will have expertise in engaging with the broader Engineering & Technical Services community along with your previous history of delivering efficient and effective solutions for Military Aerospace platforms.
Having had experience working within appropriate regulatory environments (CASA/DASA/FAA's etc) you will provide innovative ideas for continuous improvement initiatives to help maintain and support the development and execution of our contract requirements and any variations. Previous engineering authority on Military platforms will be highly desirable.
Lastly, you will be an Australian citizen with the ability to obtain and maintain a Defence security clearance (NV1).
**What we offer:**
Whatever your role, life stage, or background, you can shape your career here in your way with highly challenging work, great colleagues, and career development, plus a range of flexible benefits and working patterns.
Professional Development Support - further education, leadership development, professional industry memberships, and unlimited access to a range of online training
+ Commitment to your Professional Development - further education, leadership development, professional industry memberships and unlimited access to a range of online training
+ Options for flexible & hybrid work including a 9-day fortnight
+ Up to 2 weeks additional purchased annual leave
+ Salary packaging including novated car leases
+ Generous parental leave entitlements
+ Volunteer Leave
+ Relocation assistance
+ Health & Wellbeing program
+ Employee Assistance Program
+ Support towards continued Professionalisation through our agreement with Engineers' Australia
Defining Possible means something different to each one of us. What's Your Possible?
**Everyone Matters**
Doing the Right Thing and Sharing Success are two values underpinning how we behave at Northrop Grumman. Here, everyone matters and we proudly encourage individuals from all backgrounds and all abilities to apply to join our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.
Note: As a Defence security clearance is required for this role, you will need to be an Australian Citizen. International Traffic in Arms Regulations (ITAR) are applicable, so your nationality may be a factor in determining your suitability for this role.
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.(USA-UT-Roy) Industrial Security Analyst (12645)2024-03-12T06:15:22-04:00https://northropgrumman.jobs/4D80856E476041748005940C6162CE3926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
Northrop Grumman Space Systems, Strategic Deterrent Systems is currently seeking an **Industrial Security Analyst** for a Physical Security and Lock and Key position in Roy, Utah.
The responsibilities include but are not limited to the following:
+ Maintaining Lock and Key system
+ Installations and break-fix
+ Setting GSA Containers
+ Prepare and maintain metrics.
+ Ability to excel in a fast-paced deadline-driven environment.
+ Self-starter with minimal supervision.
+ Exceptional customer service skills
+ Ability to problem solve and apply critical thinking.
+ Strong organizational skills
+ Ensure compliance with government and corporate security policies/procedures.
**Basic Qualifications** :
+ Bachelor's degree and three years of related security experience. In lieu of a degree, an additional four years of related security experience may be considered.
+ Candidate must be a US Citizen and have a U.S. Government **Top Secret** clearance and the ability to maintain a U.S. Government clearance.
+ Organized and efficient at time management.
+ Computer and desktop Microsoft office software products experience
+ Must be detail-oriented, have meticulous record-keeping, and will be responsible for accurate data input into multiple databases/spreadsheets.
+ GSA Certifications.
**Preferred Qualifications** :
+ ICD 705 Training
+ Self-starter with minimal supervision.
+ Familiarity with 32 CFR Part 117 (NISPOM) and DoD 5205.07 manuals.
+ Ability to excel in a fast-paced deadline-driven environment.
+ Familiarity with Security Information Management System (SIMs).
+ Meticulous record-keeping and responsible for accurate data input into multiple databases/spreadsheets.
+ Excellent communication skills, speaking, writing skills, and organizational skills enabling effective communications.
**Salary Range:** $62,200 - $93,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) Manufacturing Technician 2/32024-03-12T06:15:21-04:00https://northropgrumman.jobs/29A930D6885043A695A52222A901AFDB26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Propulsion Systems is currently seeking **Manufacturing Technicians** to work with our **Case Value Stream Operations team** . This position will be hired and working in our **Clearfield Freeport Center** with the anticipation that the position will be transferred to report out of our **Copper Crossing location in Salt Lake City** once we have opened that facility in late 2024-early 2025.
**Role description & Responsibilities:**
A **Manufacturing Technician** performs hands on activities/tasks associated with manufacturing of composite rocket cases. Tasks may include but are not limited to Tooling/Assembly/Disassembly, Case Surface Preparation, and winding composite equipment operation.
Maintaining cleanliness of work area and facilities, and other duties as assigned by the supervisor. Participates as a team member to positively influence and enhance the safety, quality, cost and scheduling of their team.
This is a **weekday,** **day shift** position. Overtime is worked as necessary.
**Requirements:**
• Must be willing to perform shift work and work on weekends and holidays as needed
• Must be willing to work overtime as required
• Must possess a valid state driver's license
• Required to perform safe handling of hazardous waste materials for disposal.
• Possess ability to understand and apply stringent procedures to assigned duties.
• Safe handling of equipment and materials in a manufacturing environment
• Operating industrial equipment such as bridge cranes, forklifts, precision measuring instruments and hand tools.
• Ability to read blueprints.
• Able to monitor and verify quality in accordance with statistical process control and design specifications.
**Skills & Qualifications:**
• Able to work a physically demanding day (stand for long periods; work from your knees; ascend and descend ladders; lift, bend, twist push and pull up to 50 lbs.) while wearing protective equipment (respirator, coveralls, gloves, eye and ear protection, etc.).
• Able to read, comprehend and follow established written instructions without deviation
• Perform basic math and measurement functions
**Experience Requirements for a Level 2:**
• High School Diploma or GED
• 2 years of related Composite or Mechanical work experience
• Ability to weigh material for mixing process.
• Ability to work with resins and carbon fiber.
• Ability to work with chemical cleaning process such as an industrial degreaser.
• Ability to work with aluminum oxide cloth.
**Experience Requirements for a Level 3:**
• High School Diploma or GED
• 4 years of related Composite or Mechanical work experience
• Prefer Associates degree or Technical Certificate related to composite material
• Ability to start and end helicals and hoops, following planning requirements.
• Able to continuously monitor machinery to ensure winding is completed according to established planning and procedures.
**Salary Range:** $42,000 - $70,000
**Salary Range 2:** $49,900 - $83,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Redondo Beach) Survivability Engineer / Principal Survivability Engineer (Level 2 or 3)2024-03-12T06:15:21-04:00https://northropgrumman.jobs/64AE7710C2AB4F608F83F2C381B7131A26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Job Description
At Northrop Grumman, we Define Possible. We are a passionate community of engineers and leaders, a creative community of scientists and researchers, a diverse community of human beings, all driven to pioneer the future. And in Survivability, that future is bright... Or, from the enemy's perspective, it is as dark as the cover of a moonless night.
Now, imagine sitting in a stadium, or outside at a parade, and the next generation of Stealth Technology flies overhead, drawing a roar of admiration from the crowd. Imagine being able to turn to the person next to you and saying "I had a hand in that." At Northrop Grumman Aeronautics Systems and in Survivability Engineering, that could be Your Possible. We are tasked with developing, testing, and implementing new materials, technologies, and concepts, that enable the most lethal, intelligent, and collaborative systems to penetrate and operate in enemy airspace with impunity. We work hand in hand with a broad swath of other engineering disciplines to design, develop, manufacture, and sustain these systems. Our responsibilities span the full Systems Engineering lifecycle-from research and development to modeling and analysis, from static / component test to dynamic flight test, from manufacturing floor support to field repairs and maintenance, and more. We work across multiple spectrums-from RF (Radio Frequency), to IR (Infrared) and Visual, to Acoustic. Our engineers have the opportunity to work in various environments-behind desks, in highly specialized labs, at indoor and outdoor test and measurement ranges, and at overseas operational locations. Our team is absolutely critical to the cradle-to-grave success of our cornerstone programs and the programs of the future, and your role on our team would be equally critical to our success as an organization. Without Survivability Engineers, there is no Low in Low Observable. Without us, there is no Stealth in Stealth Bomber. Join us, and let's Define Survivable together!
**Northrop Grumman is an industry leader in Stealth Technology** . We are involved in all phases of development, production, and maintenance of Low Observable (LO) platforms. We are currently looking for **Stealth / Low Observable / Radar Cross Section (RCS) / Signature / Survivability Engineers** to work on exciting and challenging projects.
This position can be filled at a **Survivability Engineer** (Level 2) or **Principal Survivability Engineer** (Level 3) based on qualifications listed below.
**We need your help to engineer at the edge of the impossible!**
**We would like you to bring your experience in design integration, product support or antenna integration to assist our highly skilled an innovative team.**
**Core competencies:**
+ **Use the latest classified cutting-edge technology** to reduce the detectability of aircraft in the electromagnetic, infrared, visual and acoustic spectrums.
+ **Predict** the performance of your design using proprietary analysis codes.
+ **Get you hand dirty and verify your prediction** and the design by building and test physical models.
+ **Optimize the design for Stealth** while meeting the requirement of all other engineering disciplines.
+ **Take Responsibility** for achieving customer goal and balancing the design in an Integrated Product Team (IPT) environment.
+ **Thrive in a fast-paced work environment** with high expectations, significantly diverse assignments, and extensive collaboration.
**Basic Qualifications:**
+ Demonstrated skills and knowledge in Stealth (design integration, product support or antenna integration).
+ Must have an active DoD Secret (or higher) clearance.
+ A Special Access Program (SAP)/Program Access Request (PAR) will be initiated on the selected candidate and must be active before a start date can be established.
+ **Educational/ Experience Requirements:**
+ **Survivability Engineer:** Bachelor's degree in a STEM discipline AND 2 years of related professional/military engineering experience, OR a Master's degree AND 0 years of related professional/military engineering experience.
+ **Principal Survivability Engineer:** Bachelor's degree in a STEM discipline AND 5 years of related professional/military engineering experience, OR a Master's degree AND 3 years of related professional/military engineering experience, OR a Ph.D. AND 0 years of related professional/military engineering experience.
**Preferred Qualifications:**
+ Demonstrated Knowledge and Experience using the latest classified cutting-edge technology to reduce the detectability of aircraft in the electromagnetic, infrared, visual and acoustic spectrums.
+ Experience with Northrop Grumman proprietary computational electromagnetic analysis codes or similar computational electromagnetic analysis codes used by our competitors or customers.
+ Complete understanding and capability to plan and implement Radio Frequency (RF) modeling and testing of complex classified targets at nations premier indoor/outdoor RCS/RF test facility.
+ Working knowledge of the requirements of other supporting engineering disciplines to help in achieving optimization of Stealth goals and a balanced design.
+ Strong interpersonal communication and technical writing skills to enable effective personal interaction and collaboration with a multi-disciplined team and customers.
+ Knowledge of Applied Electromagnetics / Aircraft Survivability, and a Comprehensive understanding of Electromagnetic Energy transmission though space and within materials.
+ The ability to post process complex electromagnetic data to identify and exploit trends to help our aircraft vanish from the RADAR screen.
+ Master's degree in physics, Electrical Engineering, or a related technical field.
+ A current active U.S. Government in-scope DoD Top Secret security clearance with the ability to obtain and maintain Special Program Access (PAR/SAP).
**Salary Range:** $87,200 - $130,800
**Salary Range 2:** $107,300 - $160,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-VA-Charlottesville) Northrop Grumman (DoD SkillBridge) - Production Test Technician 3/42024-03-12T06:15:20-04:00https://northropgrumman.jobs/BC484CAB0F5C4D8FAF9F2285C482EA3726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
As one of the largest global security companies in the world, Northrop Grumman is proud to help our nation's military personnel make the transition to civilian careers. Approximately 1/4th of Northrop Grumman's 90,000 employees self-identify as veterans, and more than 1,600 are reservists.
The Northrop Grumman Military Internship Program (NG-MIP) is an approved SkillBridge Program under Dept. of Defense Instruction 1322.29 .The NG-MIP program is an opportunity for transitioning service members to gain valuable civilian work experience through an individual internship during their last 6 months of service, for up to 180 days. The Northrop Grumman Military internship Program is open to all ranks and experience levels. SkillBridge participants are not eligible for compensation from Northrop Grumman, as they continue to receive military compensation and benefits as active-duty service members **.**
**Responsibilities for this internship position are:**
Northrop Grumman Corporation (NGC) has developed the Northrop Grumman - Military Internship Program (DoD SkillBridge) utilizing the DoDI guidance for SkillBridge. During this program the service member will be on-site at his or her host company performing an individual internship in an entry to mid-level career type role. The service member will be on the job training supporting a work schedule equivalent to 40hrs per week. Outlined below are the Goals, Objectives, and Outcomes for the program.
**Goals** - Provide transitioning service members fellowship-style job skills training during the last portion(s) of their military commitment. This program is specifically designed to offer internships that result in the potential to transition to a full-time opportunity as the conclusion of the training. Interns will serve as a pipeline for high-speed, motivated military candidates into NGC.
**Objectives** - Service Members who complete the Intern program will be highly-trained, capable, future employees that align to the specific needs of the organization and are prepared to meet the NG mission "Defining Possible" on Day 1. This program provides a comprehensive internship experience including professional development, networking with leadership, and training specifically focused on NG leadership principles, company history, customer/stakeholder engagement, product and service overview, and core job responsibilities.
**Outcome** - Offer transitioning service member a rewarding opportunity to join the Northrop Grumman team.
**DoD SkillBridge Eligibility:**
+ Has served at least 180 days on active duty
+ Is within 12 months of separation or retirement
+ Will receive an honorable discharge
+ Has taken any service TAPS/TGPS
+ Has attended or participated in an ethics brief within the last 12 months
+ Received Unit Commander ( first O-4/Field Grade commander in chain of command) written authorization and approval to participate in DoD SkillBridge Program prior to start of internship.
The Production Test Technician applies knowledge of electronics and circuitry to test, repair, and install a group of Northrop Grumman products. The selected team member will be responsible for testing, troubleshooting and repair down to component level of electronic assemblies utilizing test equipment such as meters, oscilloscopes, power supplies and RF Instruments. Responsible for functionally testing electronic assemblies and mechanical assemblies to ensure quality conformance.
**Job Duties:**
+ Sets up test apparatus and conducts tests of production assemblies and units following methods, procedures, standards, and sequences.
+ Lays out and assembles units for production equipment according to designs and specifications. Adjusts and calibrates systems as needed.
+ Modifies equipment by adding, removing, or altering components as defined by predetermined diagrams and sketches.
+ Corrects malfunctions by making adjustments or replacing parts or components as directed.
+ Uses hand and small power tools, and various measuring and testing devices in performing job duties.
+ May monitor and verify quality in accordance with statistical process or other control procedures.
+ Will adhere to Company's Safety and quality policies and Employee "Code of Conduct"
**Level 3 Qualifications** :
+ A High School Diploma or GED Equivalent and a minimum 4 years additional education and/or related experience.
+ Experience troubleshooting and repairing electronic circuit boards and computer assemblies.
+ Experience being able to read schematics.
+ Knowledge and familiarity of general test equipment including Power Supplies, Signal Generators, Multi-meters, O-Scopes, RF Instruments, etc.
+ Basic proficiency with Microsoft Office: Excel and Word.
+ Basic knowledge of Windows Operating systems.
+ Ability to frequently move and position objects weighing 40-50 lbs. and work in areas of height and close spaces.
**Level 4 Qualifications** :
+ A High School Diploma or GED Equivalent and a minimum 6 years additional education and/or related experience.
+ Experience troubleshooting and repairing electronic circuit boards and computer assemblies.
+ Experience being able to read schematics.
+ Knowledge and familiarity of general test equipment including Power Supplies, Signal Generators, Multi-meters, O-Scopes, RF Instruments, etc.
+ Basic proficiency with Microsoft Office: Excel and Word.
+ Basic knowledge of Windows Operating systems.
+ Ability to frequently move and position objects weighing 40-50 lbs. and work in areas of height and close spaces.
**Preferred Qualifications:**
+ Associate's Degree or Bachelors Degree in a technical discipline or two years of technical/military training.
+ Experience with component level troubleshooting.
+ Must be able to apply electronic and electro-mechanical theory.
+ Computer proficiency in Windows Operating systems.
+ Experience with automated test equipment.
+ Must be able to obtain and maintain a Secret clearance.
+ Basic knowledge of Windows operating systems and network configurations.
**Salary Range:** $52,500 - $87,500
**Salary Range 2:** $62,400 - $104,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Principal Industrial Engineer2024-03-12T06:15:19-04:00https://northropgrumman.jobs/90F05AE0E50A4CC8B9C6941A3E8E54D326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Mission Systems Sector Manufacturing Operations Engineering Organization** is seeking a **Principal Industrial Engineer.** This position is located in Linthicum, MD.
**What's Great About Working for Northrop Grumman:**
**Be part of a culture that thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work.
**Use your skills to build and deliver innovative tech solutions that protect the world and shape a better future.
**Enjoy benefits like work-life balance, education assistance and paid time off.
**Many sites have 9/80 days, telework and flexible scheduling options, plus generous paid time off.
**Northrop Grumman was recognized as one of the world's most flexible employers by Sustainability Magazine in 2023.
**Northrop Grumman has made the Top 50 list for Diversity Inc. for 14 consecutive years!
**For this job, hybrid telecommuting means that once you are up-to-speed, you may work from home up to 2 days per week. You will need to be onsite the other days each week.**
The **Principal Industrial Engineer** will be assigned to a manufacturing cell, paired with an experienced Lead Industrial Engineer (IE), with the expectation of quickly gaining the skills to either take over the lead role or to take over a manufacturing cell.
**A cell IE works closely with the manufacturing leadership team to:**
•Monitor labor performance and develop plans for improvement.
•Monitor capital resource loading and develop plans for capacity management.
•Coordinate facility capital plan execution.
•Define and document process designs (product routings).
•Use and maintain models for standard work assignment.
•Lead Continuous Improvement activities (e.g. 5-S, VSM, 6-Sigma)
•May establish or assist in establishing accident prevention measures.
•May manage training programs for personnel concerning all phases of production operations.
**Basic Qualifications:**
+ Bachelor's of Science degree or higher in Engineering or other STEM area AND 5 years of relevant experience (or 3 years with a Master's)
+ Industrial Engineering experience or Manufacturing experience
**Preferred Qualifications:**
+ BS or higher in Industrial, Systems or Mechanical Engineering
+ An advanced degree (MS)
+ Professional experience in Operations engineering
+ Experience with SAP or other Enterprise Resource Planning (ERP) system
+ Experience in defense, aerospace, or automotive industries
+ Technical experience working in a manufacturing/production environment
**Northrop Grumman** provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family. **Your benefits** will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Northrop Grumman** has approximately 90,000 employees in all 50 states and in more than 27 countries. We strive to attract and retain the best employees by providing an inclusive work environment wherein employees are receptive to diverse ideas, perspectives, and talents to help solve our toughest customer challenges: to develop and maintain some of the most technically sophisticated products, programs, and services in the world.
**Our Values.** The women and men of Northrop Grumman Corporation are guided by Our Values. They describe our company as we want it to be. We want our decisions and actions to demonstrate these Values. We believe that putting
Our Values into practice creates long-term benefits for shareholders, customers, employees, suppliers, and the communities we serve.
**Our Responsibility.** At Northrop Grumman, we are committed to maintaining the highest of ethical standards, embracing diversity and inclusion, protecting the environment, and striving to be an ideal corporate citizen in the community and in the world.
**Salary Range:** $93,000 - $139,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(AUS-Amberley) Enterprise Coordinator2024-03-12T06:15:19-04:00https://northropgrumman.jobs/F19D3F6A5EE340D79C99A39CEE0DC64B26We're building a reputation as the place to be in Defence. With strong local management, sound investment and a fresh approach, we're on an exciting trajectory and building unique capability. Leveraging the kind of leading-edge US capabilities and technology most can only imagine, our close-knit 800 strong team is busy delivering World-leading solutions to safeguard Australia's future. And, together, we're growing a business where everyone contributes, and everyone matters.
**Your role:**
As the **Enterprise Coordinator, you** will be responsible for scoping Capability Development Projects, support the KC-30A platform and Enterprise. The Enterprise Coordinator is accountable and for providing support to and monitoring the performance and executable capacity of the broader, Enterprise, which consists of Commonwealth members (Airforce, Capability Acquisition and Sustainment Group, and Australian Public Service) and Industry partners (CAE and Airbus Defence and Space)
Ideally you will have knowledge or experience in implementing and executing Project Management in a Defence environment along with experience in complex Stakeholder Management and robust oral and written communication skills.
**What we Offer:**
Whatever your role, life stage or background, you can shape your career here in your way with highly challenging work, great colleagues, and career development, plus a range of flexible benefits and working patterns.
+ Professional Development - further education, leadership development, professional industry memberships and unlimited access to a range of online training
+ Options for flexible & hybrid work including 9-day fortnight.
+ Purchased annual leave.
+ Salary packaging including novated car leases.
+ Generous paid parental leave
+ Volunteer Leave
+ Health & Wellbeing program
+ Employee Assistance Program
**Everyone Matters:**
Doing the Right Thing and Sharing Success are two values underpinning how we behave at Northrop Grumman. Here, everyone matters, and we proudly encourage individuals from all backgrounds and all abilities to apply to join our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.
As a Defence security clearance is required for this role, you must be an Australian Citizen. International Traffic in Arms Regulations (ITAR) are applicable, as such your nationality may be a factor in determining your suitability for this role. You will also need to satisfy pre-employment drug & alcohol testing; police checks and employment screening verification.
Northrop Grumman is committed to hiring and retaining a diverse workforce, and encourages individuals from all backgrounds and all abilities to apply and consider becoming a part of our diverse and inclusive workforce. Aboriginal and Torres Strait Islander people are welcome and encouraged to apply.(USA-MD-Baltimore) Production Control Manager 22024-03-12T06:15:18-04:00https://northropgrumman.jobs/666F25E863634EAA9A4F78B3E2A6A42426At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
**Northrop Grumman Mission Systems** is seeking a **Production Control Manager 2** to join our **Production Control Team** within the **Manufacturing Organization** . This position is in **Linthicum, Maryland.**
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and you model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You develop trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement, and respond vigorously to change.
**What You'll get to Do:**
+ Directs and coordinates the activities to plan, prepare, issue, and control production schedules, in conjunction with Material Requirements Planning, to meet production/delivery requirements
+ Manages the controlled flow of material and hardware from receipt into a manufacturing area through delivery to the customer.
+ Oversees the status of work in process, material availability, and potential production problems to ensure that personnel, equipment, materials, and services are available, as needed.
+ Manages the priority and scheduling of equipment and personnel, the confirmation of material supply and demand, and the preparation of work order or purchase requests for the production or purchase of components or parts based on a master production schedule, shop load, and inventory requirements.
+ Utilizes both internal and external resources, and coordinates interdepartmental activity with quality assurance, manufacturing, supply chain, engineering, inventory control, transportation, etc.
+ Provides direction and coaching to production planning and material control team members.
+ Provide leadership in areas such as manufacturing requirements planning, production scheduling, WIP management and Material Management and Accounting System (MMAS) auditable processes.
**Basic Qualifications:**
+ Bachelor's Degree with a minimum of 9+ years of relevant professional experience. Master's Degree with a minimum of 7+ years of relevant professional experience, or 13+ years of relevant professional experience may be considered in lieu of a degree
+ Experience in management or leadership of people and/or processes
+ Strong understanding of manufacturing and production concepts
+ Strong communication, presentation, and analytical skills
+ Must be a U.S. Citizen with the ability to obtain and maintain a U.S. Secret Level Security Clearance
**Preferred Qualifications:**
+ Bachelor's degree in Business, Math, or Logistics
+ Active Secret Clearance
+ Firm understanding of the fundamentals of MRP
+ Experience working in a union represented environment
+ Experience with production control and manufacturing processes
+ Lean manufacturing and process improvement implementation experience
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
**NGFeaturedJobs**
MANUMS
Northrop Grumman has approximately 90,000 employees in all 50 states and in more than 27 countries. We strive to attract and retain the best employees by providing an inclusive work environment wherein employees are receptive to diverse ideas, perspectives, and talents to help solve our toughest customer challenges: to develop and maintain some of the most technically sophisticated products, programs, and services in the world.
Our Values . The women and men of Northrop Grumman Corporation are guided by Our Values. They
describe our company as we want it to be. We want our decisions and actions to demonstrate these Values. We believe that putting Our Values into practice creates long-term benefits for shareholders, customers, employees, suppliers, and the communities we serve.
Our Responsibility . At Northrop Grumman, we are committed to maintaining the highest of ethical standards, embracing diversity and inclusion, protecting the environment, and striving to be an ideal corporate citizen in the community and in the world.
**Salary Range:** $108,900 - $163,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Systems Engineer / Principal Systems Engineer2024-03-12T06:15:17-04:00https://northropgrumman.jobs/196AF4FB058B4E4EBCB2827CCF4D5CB726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
As an integral part of our Built-in-Test (BIT) / Calibration Systems Engineer ing team you will apply strong systems engineering knowledge and understanding across disciplines and work with the various systems, hardware, and software teams.
This position may be filled as a Systems Engineer or a Principal Systems Engineer and requires up to **100% on-site work in the Linthicum/Baltimore, Maryland** campus and the ability to pass an enhanced security review.
**Roles & Responsibilities:**
+ Confirm BIT Mode functions or systems are working properly and Calibrations allow capture and correction or compensation for any errors so that sensor performance levels are not impacted.
+ Derive BIT/Calibration requirements
+ Design of the BIT/diagnostic and calibration mode
+ Test effectiveness of modeling and analysis
+ Develop an in-depth understanding of Sensors Hardware capability
+ Software development and integration utilizing C++ and python
+ Define requirements to maintain system performance.
+ Verify embedded implementation of the BIT/Calibration mode.
+ Produce publication-quality documentation and supporting Factory Test activities
+ System Integration & Test, and field support
**Basic Qualifications for Systems Engineer:**
+ Bachelor's degree with 2 years of experience or a Master's degree with 0 years of experience Electrical Engineering, Systems Engineering, Software Engineering, Computer Engineering, Physics, Mathematics or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S Citizenship is required.
+ A final DoD Secret Clearance and Special Program Access (SAP) is required prior to start.
+ Experience with MATLAB for Data Analysis
**Basic Qualifications for Principal Systems Engineer:**
+ Bachelor's degree with 5 years of experience, Master's degree with 3 years of experience or a PhD with 0 years of experience in Electrical Engineering, Systems Engineering, Software Engineering, Computer Engineering, Physics, Mathematics or related technical fields; an additional 4 years of experience may be considered in lieu of a degree.
+ U.S Citizenship is required.
+ A final DoD Secret Clearance and Special Program Access (SAP) is required prior to start.
+ Experience with MATLAB for Data Analysis
**Preferred Qualifications:**
+ Advanced degrees in Electrical Engineering, Systems Engineering, Software Engineering, Computer Engineering, Physics, Mathematics or related technical fields.
+ Active DoD Secret Clearance or higher
+ Domain experience in sensor simulations for RF sensors
+ Experience with integration and test of RADAR, SIGINT, or EW systems.
+ Experience programming in C/C++.
This position is contingent upon the ability to obtain/maintain a final DoD Secret Clearance and Special Program Access (SAP) prior to starting.
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Salary Range:** $83,300 - $124,900
**Salary Range 2:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Sr Principal Engineer Manufacturing Systems2024-03-12T06:15:17-04:00https://northropgrumman.jobs/28578715B33549C8B1C717A76D7510C926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems has an opening for a **Senior Principal Manufacturing Systems Engineer (Level 4)** to join our team of qualified, diverse individuals. This position will be located in **Palmdale, CA.**
As a member of the Advanced Manufacturing Technology & Innovation development team, you will define, develop, implement, and transition new technology solutions to manufacturing to increase efficiency, reduce cost, and improve quality. Manufacturing concept and process solutions for production applications cover advanced technologies including but not limited to composites fabrication, assembly, automation, inspection, direct part manufacturing, LO technologies, as well as digital capabilities. The role requires incorporation of requirements into development plans and evaluation of performance of processes and tools to verify their efficiency. In addition, you will identify and initiate corrective action of problems and deficiencies to ensure R&D contractual obligations (technical, schedule, and cost) are met and product quality is maintained. In this role, you will provide guidance regarding design concepts, TRL/MRL maturity, and specification requirements to best utilize resources and manufacturing techniques, and ensure processes and procedures are in compliance with regulations. This role involves interfacing with internal and external customers, program offices, engineering disciplines, and executive management. In addition, the role will require the ability to handle multiple projects concurrently in a dynamic/fast-paced work environment. Budgeting and Project Management skills will be utilized to properly scope and execute assigned work and manage project efforts.
**Basic Qualifications for Senior Principal Manufacturing Systems Engineer (Level 4):**
+ Bachelor's Degree in a STEM (Science, Technology, Engineering, Math) discipline with 9 or more years' experience in aviation, systems engineering, aerospace, or manufacturing/production; OR Master's Degree with 7 or more years' experience in aviation, systems engineering, aerospace, or manufacturing/production OR PhD with 4 or more years' experience in aviation, systems engineering, aerospace, or manufacturing/production.
+ Experience working with IPTs, other stakeholders, and various customers such as Air Force and Navy
+ Project / Program Management skills (proposal creation, scheduling, budget tracking).
+ Strong Familiarity with Process Development & Controls.
+ Ability to travel 10-25% of the time.
+ Must have the ability to obtain and maintain DoD Secret Clearance.
+ Must have the ability to obtain and maintain Special Program Access.
+ Proficient experience with composite manufacturing.
**Preferred Qualifications:**
+ Current and active DoD Secret Clearance.
+ Current Special Program Access.
+ Demonstrated technical leadership skills, decisiveness, and ability to make sound technical judgments with limited information.
+ Well-versed, skilled, and disciplined in system engineering processes.
+ Experience with using Microsoft Office Products (Word, PowerPoint, Excel, MS-Project, Visio).
+ Experience in generating requirements, specifically, the ability to turn vague customer needs or stakeholder inputs into requirements.
+ Proposal experience, and experience in other early phases of program lifecycle
+ Prior experience operating in a dynamic environment of increasing production schedules and high delivery rates.
+ Siemens NX, TcE, CPLM, SAP, and Manufacturing Execution Systems.
+ CAM Experience.
+ Experience in hardware/software integration of manufacturing systems.
+ Experience with production assembly/fabrication including Composites Layup, Composites Assembly, Transition to Production, and/or production manufacturing operations.
+ Extensive experience working Composite materials (i.e. Carbon Fiber, Fiberglass, Kevlar, etc.)
+ Technical Writing capability
**Salary Range:** $133,000 - $199,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Sentinel (GBSD) Systems Engineering -Subcontract Technical Engineer (10646 10647 10648)2024-03-12T06:15:17-04:00https://northropgrumman.jobs/9404869D620F42298A7D1A4F08443EAF26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking a **Subcontract Technical Engineer** in support of the Sentinel program. Northrop Grumman supports the Air Force's sustainment, development, production and deployment of hardware and system modifications for Intercontinental Ballistic Missile (ICBM) Ground and Airborne Launch Control Systems, Launch Facilities, and associated infrastructure. This position is in **Roy, UT.** This role may offer a competitive relocation assistance package. This position may also require occasional travel to Northrop Grumman and Government locations within the United States.
**What You'll Get To Do**
The Mission Defense Team (MDT) is seeking a highly motivated and qualified system engineer to serve as a Subcontract Technical Engineer. You will critically analyze supply chain solutions that meet all program requirements and manage supply chain risks to ensure positive supplier performance through all phases of the program life cycle from capture to sustainment. You will be the main focal point to the subcontractor Management team and accountable to the MDT SSE leadership and Technical Lead.
**Specific duties to include, but are not limited to the following:**
+ Track and monitor supplier deliverables
+ Work with Data Management and SMT to resolve / track supplier deliverables.
+ Coordinate assignment of SDRL review with SSE team
+ Assign and track progress of review.
+ Ensure timely response to supplier deliverables.
+ Data entry of comments into K2 or Enovia
+ Support Subcontract Technical Engineers to evaluate and resolve contract requirement discrepancies.
+ Manages the delivery of Subcontract data packages to ensure relevant contract requirements are met or exceeded.
+ Participate with contracts administration and purchasing to develop subcontract policies and procedures.
**Position Benefits**
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
This position's standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off.
**Job Qualifications**
**You'll Bring These Qualifications:**
+ Bachelor's degree in a Science, Technology, Engineering or Mathematics (STEM) discipline from an accredited university and 2 years of experience with Bachelor's degree, 0 years of experience with Master's degree
+ Active Secret clearance adjudicated within the last 5 years and ability to obtain TS Clearance and SAP access.
+ Proven multitasking ability; resilience when faced with multiple deadlines and competing priorities.
+ Minimum 1 years of applying and understanding security best practices in addressing program protection risks.
+ Minimum 1 years of applying and understanding Systems Security Engineering principles applicable to US Government Defense Programs.
**These Qualifications Would be Nice to Have:**
+ Active Top-Secret clearance adjudicated within the last 5 years and ability to obtain SAP access.
+ 1+ years of contracts, supply chain, procurement, or related business experience
+ Experience in subcontracts management, contracts management.
**Salary Range:** $73,400 - $110,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Linthicum) Manager Digital Technologies Leadership Engineering Synergy2024-03-12T06:15:17-04:00https://northropgrumman.jobs/C2B423EC8EE04C2EB25F7350C1C9762326At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems (NGMS) Sector is seeking a leader to fill a Manager Level 2 position to enable a team of very qualified, high performing, diverse individuals to perform at their best. The position will lead the Digital Technology Leadership organization, comprised of senior architects and design engineers who are responsible for creating complex FPGA, ASIC, SoC, and digital subsystem designs for applications from undersea to outer space. The individual will be responsible for staff located in Baltimore, MD, Morrisville, NC and Bethpage, NY.
This role is in the Engineering Synergy group within the Airborne Multifunction Sensors (AMS) division, Engineering & Sciences (E&S) organization. The charter of Synergy is to engineer solutions more efficiently by being a thought leader in the architecture, tools, processes, mindset, and collaboration approaches adopted by E&S Digital Technology engineers during execution of their programs.
The role will focus on product development and the application of products to a wide variety of system and mission types across NGMS divisions and business units (BUs) within those divisions. This will include involvement and interaction not only with engineers within the direct chain of command, but also working across organizational BUs to foster sharing amongst many programs, IRAD initiatives, and new business capture opportunities in different chains of command to effectively use and contribute to shared digital solutions. To accomplish this, the candidate will work closely with the directors and managers of other engineering disciplines, program directors, and program managers. The ideal candidate will be able to work independently to champion change within the organization and to empower the engineers that report to them to use their strengths to do the same.
This will be a multi-role position where the selected candidate will provide both engineering functional leadership and technical project execution leadership as an IPT Lead/CAM for a large program in a BU. As an IPT Lead, the selected candidate will provide Integrated Product Team leadership and perform program execution leveraging EVMS and Agile project methodologies.
The primary goal of this position is to increase efficiency in our Digital Technology solution development, and broadly apply these changes across the organization, to ensure enduring outcomes for years to come.
**Roles and responsibilities:**
+ People leadership : performance management; career development; staffing; workload forecast; recruiting and hiring; merit planning; employee inclusion and engagement; employee training; and development of a bench of digital architects across the organization (through knowledge sharing, training, rotations, etc.).
+ Project leadership : project performance and technical oversight for design activities performed by the group; provide proposal inputs and review proposal content for both internal and external customers; project leadership for internally funded projects; project awareness (necessary content and major milestones) for major programs and captures across the sector.
+ Process leadership : provide ownership of and forward direction to E&S design processes; create and support department initiatives; advocate for cross-campus/sector/division collaboration; support and promote adherence to standard design processes by all projects; foster and enforce accountability of process compliance by projects; collaborate with leaders of other engineering disciplines to share, promote, and advance relevant processes.
+ Thought leadership : determine more efficient methodologies for design; collaborate with other managers and chief engineers in the organization to implement sector objectives; be a first point of contact to coordinate and promote use of products across projects and enable project stakeholder investment into shared products; understand product capabilities and roadmaps and communicate them to stakeholders; empower both self and employees to be leaders and champions of organizational change.
**Qualifications:**
Basic Qualifications - To be considered for this position, you must minimally meet the knowledge, skills, and abilities listed below:
+ Bachelor's degree with 9 years of experience, a Master's degree with 7 years of experience or a PhD with 4 years of experience in Science, Technology, Engineering or Mathematics or related technical fields.
+ U.S Citizenship is required.
+ An active DoD Secret Clearance with the ability to obtain/maintain Special Program Access (SAP).
+ Minimum 9 years of experience in a digital technology design (FPGA, ASIC, SoC, verification, and/or subsystem) or digital technology management environment.
+ Must have experience in management of employees or management of a project.
+ Demonstrated proficiency in mentoring and the ability to build highly engaged teams.
+ Excellent verbal and written communication skills and the ability to present knowledge to a wide variety of audiences of different backgrounds effectively.
+ Knowledge of current digital technology, technologies and tools, and experience in all aspects of the engineering process, from requirements analysis through verification & testing.
Preferred Qualifications - Candidates with these desired skills will be given preferential consideration:
+ Advanced degree in in Science, Technology, Engineering or Mathematics or related technical fields.
+ Active DoD Top Secret Clearance or higher.
+ Experience leading teams in a multi-disciplined engineering environment.
+ Position prefers a candidate with a current Secret, Top Secret, or TS/SSBI clearance.
+ Direct experience managing FPGA, ASIC, SoC, or digital subsystem design projects.
+ Demonstrated leadership in identifying, initiating, and being a champion in an organization for positive change that challenges and overcomes traditional ways of doing business. Effective at working with a team to solicit, analyze, and overcome adoption difficulties.
+ Demonstrated ability to be accountable to others while completing several simultaneous tasks, or tasks of large scale or complexity.
+ Understanding of modern DevSecOps processes.
This position is contingent upon the successful transfer of an active DoD Secret Clearance and the ability to obtain or maintain Special Program Access (SAP).
**Salary Range:** $145,000 - $217,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Sr Staff Engineer Systems Architect2024-03-12T06:15:16-04:00https://northropgrumman.jobs/36CBC659F62F4FC7B04CEB9CDDB0E6C026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems is seeking a **Senior Staff Systems Engineer Architect** with outstanding leadership skills and hands-on experience in development of mission solutions and complete mission solutions. The Systems Architect will utilize their deep background in RADAR, Electronic Warfare (EW ) technologies to help develop architectures for future multi-function sensors, and upgrades to current systems. This position is located in **Linthicum, Maryland** .
**What You'll Get To Do:**
+ Ensure the logical and systematic conversion of customer or product requirements into total systems solutions that acknowledge technical, schedule, and cost constraints.
+ Perform functional analysis, timeline analysis, capability simulations, detailed trade studies, requirements allocation, and interface definition studies to translate customer requirements into hardware, software, firmware, and integration and test specifications.
+ Interface with multiple disciplines, including customers, internal executive management, program management, hardware, software, system engineering, procurement, suppliers, and manufacturing.
+ Lead and report progress for requirements documentation, technical risk management, key system performance parameters, and lessons learned.
The successful candidate will clearly demonstrate strong leadership skills and will have an excellent track record as an effective communicator and problem solver, who is able to develop and maintain good working relationships with internal and external stakeholders. The candidate will have an ability to operate in a team environment and collaborate across the sector business areas as required to accomplish the team goals.
**Role & Responsibilities :**
+ Designing and developing sensor system architectures , develop system interface definitions, and defining the key capabilities and performance requirements
+ Performing functional analysis, timeline analysis, detailed trade studies, requirements allocation, and interface definition studies to translate customer requirements into hardware and software specifications
+ Ensuring the logical and systematic conversion of customer or product requirements into total systems solutions that acknowledge technical, schedule, and cost constraints
+ Developing modifications to existing products to meet unique customer needs, and supporting / leading the development of next-generation products within a market segment
+ Supporting capture activities, including RFI/RFP preparation, customer presentations and live demonstrations, and competitor analysis
+ Maintaining knowledge of current and developing technologies and developing strategies for their insertion into both legacy and next-generation sensors
+ Responsibility for close customer cooperation to ensure that requirements meet customer expectations
+ Providing presentations to customers and senior management, with tailored material based on the audience
**Basic Qualifications:**
+ Bachelor's Degree in a STEM discipline (Science, Technology, Engineering, Math) with at least 14 years of professional experience in a related area; 12 years of experience with a Master's degree; 9 years with a PhD. An additional 4 years of experience may be considered in lieu of a degree.
+ US Citizenship & Active DoD Secret clearance required . Must have the ability to obtain SAP prior to start date.
+ MATLAB experience
Experience with one or more of the following topics
+ Experience in RADAR Systems Engineering
+ Experience in RADAR Antenna Design / Development
+ Electronic Warfare or SIGINT systems
+ Experience in Embedded systems
+ Relevant Algorithm development
**Preferred Qualifications:**
+ Active Top Secret, or TS/SCI clearance with counterintelligence scope polygraph
+ Leadership experience with development and/or production program execution
+ High proficiency in technical problem solving; to include decomposition, root cause analysis, solution development, implementation and monitoring
+ Multi-disciplined knowledge in areas related to engineering including systems design, hardware, software and firmware design, integration and test
+ RF, RADAR, and EW technology background with sensor development and implementation experience
**Salary Range:** $174,800 - $262,200
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-NC-Morrisville) Engineer Test2024-03-12T06:15:16-04:00https://northropgrumman.jobs/A88F49DAEF4D4FCB90ABBE1FF4FDC3E726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
What you'll get to do?
+ Designs, develops, and implements testing methods and equipment.
+ Plans and arranges the labor, schedules, and equipment required for testing and evaluating standard and special devices.
+ Provides test area with parameters for sample testing and specifies tests to be performed.
+ Compiles data and defines changes required in testing equipment, testing procedures, manufacturing processes, or new testing requirements.
+ Responsible for testing all customer samples and for special tests that cannot be performed in the test area.
**Required Qualifications**
Demonstrated experience with
+ 2 Years with Bachelors in STEM related field; 0 Years with Masters
+ Active Top Secret/SCI clearance and willing to submit to a polygraph.
+ Creating and executing test procedures
+ Creating test reporting
+ Scripting languages
+ Automated Testing Tools
+ Python
**Preferred Qualifications**
+ Active TS/SCI with Poly.
+ Agile Frameworks and Methodologies
+ DevOp Tools
+ Robot Framework
**Salary Range:** $72,100 - $108,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Principal System Engineers-Integrated Product Team (IPT) Lead2024-03-12T06:15:16-04:00https://northropgrumman.jobs/C9AF012C647442408B53B4DF520F792026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Mission Systems has multiple openings for **Principal System Engineers-Integrated Product Team (IPT) Leads** to join our team of qualified and diverse individuals. These positions will be located in Baltimore, MD.
This individual will assist the lead to help manage a cross-discipline engineering team typically ranging from 5-15 people and interface with Engineering & Program Management, Manufacturing, Supply Chain, and Mission Assurance.
**Roles & Responsibilities:**
+ Assist the lead and help to direct cross-functional engineering teams during proposal, design, manufacturing, and delivery phases of products in development and production phases
+ Assist the lead with supporting proposal development and new program acquisition efforts
+ Interpret statements of work assigned by program leadership
+ Assist the lead in developing and executing to project budgets and schedules, including Earned Value Management System (EVMS), critical path management, Estimates-at-Completion (EACs), and workload forecasts
+ Interface with functional departments to secure team staffing
+ Work with suppliers and be able to articulate project requirements
+ Assist the lead in briefing internal and customer leadership on progress of work assigned
**Basic Qualifications:**
+ Bachelor's degree in STEM with 5 years of experience, or a Master's degree with 3 years of experience in STEM. An additional 4 years of experience may be considered in lieu of a degree.
+ Team leadership experience in at least one of the following areas: project planning, cost & schedule management including tracking, manufacturing support, vendor support, and engineering design.
+ U.S Citizenship with an active DoD Secret Clearance
**Preferred Qualifications:**
+ Experience as Technical lead, Integrated Product Team (IPT) Lead, or other leadership role in a program office or business function
+ Experience as a Control Account Manager (CAM) managing Earned Value Management System (EVMS) projects
+ Experience directly managing or leading engineering teams
+ Fluency with developing and executing project schedules
+ Basic understanding of the Design, Manufacturing, and Test process
+ Problem-solving experience in a team environment
+ PMP certification a plus
+ Advanced degrees in engineering, science, software, or business administration
+ Active DoD Top Secret Clearance
**Salary Range:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-NC-Morrisville) Staff Engineer Test2024-03-12T06:15:16-04:00https://northropgrumman.jobs/CC24BACDD8EE4D4495158C23E1767E3826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
What you'll get to do?
+ Designs, develops, and implements testing methods and equipment.
+ Plans and arranges the labor, schedules, and equipment required for testing and evaluating standard and special devices.
+ Provides test area with parameters for sample testing and specifies tests to be performed.
+ Compiles data and defines changes required in testing equipment, testing procedures, manufacturing processes, or new testing requirements.
+ Responsible for testing all customer samples and for special tests that cannot be performed in the test area.
**Required Qualifications**
+ 14 Years with Bachelors in STEM; 12 Years with Masters; 9 Years with PhD.
+ Active TS/SCI Clearance with willingness to submit to polygraph.
+ Creating and executing test procedures
+ Creating test reporting
+ Scripting languages
+ Automated Testing Tools
+ Python
**Preferred Qualifications**
+ Active TS/SCI with Poly.
+ Agile Frameworks and Methodologies
+ DevOp Tools
+ Robot Framework
**Salary Range:** $136,300 - $204,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Northridge) Sr Staff Chief Engineer2024-03-12T06:15:15-04:00https://northropgrumman.jobs/402066A32E774786BF7FA1B3728B7D0926At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Defense Systems sector (NGDS) is looking for you to join our Advanced Weapons Business Unit as the **Sr. Staff Chief Engineer** of Advanced Anti-Radiation Guided Missile (AARGM) program . This position will report to the Advanced Weapons Business Unit Director of Engineering and is a full-time onsite position in Northridge, CA. As a Sr.Staff Chief Engineer at Northrop Grumman, you will have a challenging and rewarding opportunity to be a part of our Enterprise-wide digital transformation. We continue to evolve in how we deliver critical national defense products and capabilities for the warfighter. Our success is grounded in our ability to embrace change, move quickly and continuously drive innovation.
This role will serve as the definitive technical authority for all aspects of engineering on all program elements (systems engineering/software/support/test) and leads a multi-disciplined team managing the technical performance of the program meeting cost and schedule requirements including subcontracted elements.
**Typical Responsibilities Include:**
+ Ownership of the technical baseline and oversees technical activities across multiple contracts within the AARGM Program
+ Maintain cognizance over technical activities within and across contracts for requirements development, hardware/software design, integration, and verification/validation activities.
+ Solve technical problems and provides solutions that are technically sound and programmatically executable.
+ Provides technical leadership, direction and mentoring to other technical employees in the application of systems engineering principles, tools, and techniques to achieve practical end results.
+ Chair technical meetings such as requirements reviews, design reviews, and readiness reviews.
+ Facilitate technical support, review, and approval of engineering documents and drawings.
+ Support program reviews as an engineering review authority, and assist in resolution of program engineering challenges (technical, financial, and staffing)
+ Drive process development, continuous improvement, and affordability initiatives
+ Ensures that the program is adhering to sound engineering processes in the development, test, and sustainment of products for our customers and is compliant with company policies, procedures, and quality standards.
+ Support assessment of cost and schedule impacts of technical decisions
+ Be the technical liaison to the program manager to ensure successful execution to project budgets and schedules.
+ Support the program manager in risk and opportunity management by identifying and owning risks associated with system performance.
+ Interface with functional departments to secure team staffing
+ Work with suppliers and be able to articulate project requirements.
+ Brief internal and customer leadership on progress of work assigned.
+ Act as customer interface for all technical and execution matters related to AARGM Program activity.
**Basic Qualifications:**
+ Bachelor's degree in engineering or STEM-related discipline with 17 years of experience, or 15 years with a master's degree and 9 years of experience with a Ph.D.
+ Demonstrated technical leadership skills, decisiveness, and ability to make sound technical judgments with limited information.
+ Well-versed, skilled, and disciplined in system engineering processes
+ Experience leading design, production, and integration of complex systems
+ Background in aerospace systems; working knowledge of aircraft systems, missile systems, avionics, software systems, and their design drivers.
+ Candidate must have good communication skills, strong interpersonal skills, and good oral and written presentation skills.
+ **Must have an active DoD Secret clearance (with a background investigation completed within the last 6 years or currently enrolled into Continuous Evaluation)
**Preferred Qualifications:**
+ Experience as technical lead, Integrated Product Team Lead, or other leadership role in a program office or business function with demonstrated team building, organizational, and interpersonal skills.
+ Demonstrated ability to formally present technical solutions to senior leadership.
+ Experience in Air-to-Air weapon systems development
+ Experience in hardware/software integration of embedded systems
+ Working knowledge of missile propulsion systems
+ Experience directly managing or leading engineering teams
*Living in Northridge offers a perfect combination of urban living with the beauty of nature. It is a short drive away from downtown Los Angeles, the Hollywood Hills, or the rest of the San Fernando Valley.
Take advantage of your flexible work schedule to enjoy shopping at the local Northridge Fashion Center or spend time at the local Recreation Center as well as easy access to nearby protected natural areas such as the Chatsworth Reservoir, the Santa Susana Pass State Historic Park, Lake Balboa or within driving distance, spend a day at the beach or snow ski at our local mountains. With Cal State Northridge right in the heart of town, the area has an active college-town energy combined with family-friendly suburban charm. The stunning southern California climate makes for year-round easy living. With an average of 284 sunny days during the year, it is safe to say you'll have plenty of time to enjoy your outdoor activities to the fullest.
\#definingpossible
**Salary Range:** $183,100 - $274,700
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-NC-Morrisville) Principal / Sr. Principal Engineer Test2024-03-12T06:15:15-04:00https://northropgrumman.jobs/CC44081D10414C2CB5F8AFF342C6DBCD26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, but they're also making history.
The Payload and Ground Systems organization within the Northrop Grumman Space Systems pushes the boundaries of innovation, redefines engineering capabilities, and drives advances in various sciences. Our team is chartered with providing the skills, innovative technologies to develop, design, produce and sustain optimized product lines across the sector while providing a decisive advantage to the warfighter. Come be a part of our mission.
What you'll get to do?
+ Designs, develops, and implements testing methods and equipment.
+ Plans and arranges the labor, schedules, and equipment required for testing and evaluating standard and special devices.
+ Provides test area with parameters for sample testing and specifies tests to be performed.
+ Compiles data and defines changes required in testing equipment, testing procedures, manufacturing processes, or new testing requirements.
+ Responsible for testing all customer samples and for special tests that cannot be performed in the test area.
**Required Qualifications**
**Principal Engineer Test:** Bachelor's degree in STEM and a minimum of 5 years of relevant experience; 3 years of relevant experience with a Master's degree, or 0 years with a PhD.
**Senior Principal Engineer Test:** Bachelor's degree in STEM and a minimum of 9 years of relevant experience; 7 years of relevant experience with a Master's degree, or 4 years with a PhD.
+ Active TS/SCI Clearance with willingness to submit to polygraph.
+ Creating and executing test procedures
+ Creating test reporting
+ Scripting languages
+ Automated Testing Tools
+ Python
**Preferred Qualifications**
+ TS/SCI with Poly.
+ Agile Frameworks and Methodologies
+ DevOp Tools
+ Robot Framework
**Salary Range:** $88,600 - $133,000
**Salary Range 2:** $109,900 - $164,900
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Sentinel (GBSD) - Staff Electrical Components Engineer (PMP) 11789*2024-03-12T06:15:15-04:00https://northropgrumman.jobs/E801D827F4D84296A28E8AB9A64E7E8826At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Join Northrop Grumman on our continued mission to push the boundaries of possible across land, sea, air, space, and cyberspace. Enjoy a culture where your voice is valued and start contributing to our team of passionate professionals providing real-life solutions to our world's biggest challenges. We take pride in creating purposeful work and allowing our employees to grow and achieve their goals every day by Defining Possible. With our competitive pay and comprehensive benefits, we have the right opportunities to fit your life and launch your career today.
Embark on a career putting innovative, reliable, and agile products and ideas into orbit, and beyond. Northrop Grumman has opportunities waiting for you that play a vital role in human space exploration, national defense, and scientific discovery, supporting multiple programs across the universe. With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on earth every day, now and for the future. Explore your future and launch your career today.
Northrop Grumman Space Systems is seeking multiple **Staff** **Electrical Components Engineers** . This position is located in **Roy, UT or Promontory, UT** and supports the Sentinel program. This role may offer a competitive relocation assistance package. This requisition may be filled at a higher grade based on qualifications listed below.
**What You'll Get To Do:**
+ Work with design engineers in selection and application of Electrical, Electronics, Electro-Mechanical, Electro-Optical (EEEE) parts, including Microcircuits, Connectors, Passive Devices, and Printed Circuit Boards
+ Create Component Specifications or Source Control Documents to meet Program Requirements
+ Lead and Conduct qualifications of new EEEE components for use in new Circuit Card Assembly designs
+ Work with manufacturing and Component vendors to resolve qualification, producibility, and test issues with parts and Circuit Card Assemblies
+ Manage Bill-of-Materials, new part requests, part objects, and part attributes in a Product Lifecycle Management System
+ Support Supply Chain with component procurement requirements, selection of suppliers, and procurement issues
+ Tailoring and allocating EEEE Part and Circuit Card Assembly requirements to System, Subsystem, and Unit Level Specifications.
+ Support Program Subcontractors with EEEE Part requirements interpretation and review of Subcontractor Data Requirements Lists (SDRLs)
As a full-time employee of Northrop Grumman Space Systems, you are eligible for our robust benefits package including:
- Medical, Dental & Vision coverage
- 401k
- Educational Assistance
- Life Insurance
- Employee Assistance Programs & Work/Life Solutions
- Paid Time Off
- Health & Wellness Resources
- Employee Discounts
This positions standard work schedule is a 9/80. The 9/80 schedule allows employees who work a nine-hour day Monday through Thursday to take every other Friday off. This role may offer a competitive relocation assistance package.
**Job Qualifications:**
**You'll Bring These Qualifications:**
**Staff Electrical Components Engineer:** Bachelor of Science degree in a STEM (Science, Technology, Engineering and Math) discipline and 14 years of experience; 12 years with Master's Degree; 9 years of experience with a PhD.
+ Must have the ability to obtain and maintain a DoD Secret Security Clearance or higher
+ U.S. Citizenship with the ability to obtain Special Program Access (SAP)
+ Experience in military/space electronics design or manufacturing
+ Familiar with the selection and application of EEEE components in systems design
+ Experience with the development of associated component data/drawings and the selection of EEEE parts to meet program/project objectives and requirements
+ Must be proficient in Microsoft Office Tools
**These Qualifications Would be Nice to Have:**
+ Working knowledge of various NASA & Military Performance documents required for the selection and screening of Space, Military, DSSC, Non-Standard, and Commercial-Off-The-Shelf components, including MIL-PRF-38534 (Hybrids), MIL-PRF-38535 (microcircuits), MIL-PRF-19500 (semiconductors), MIL-PRF-123 (capacitors), MIL-PRF-55342 (resistors), MIL-PRF-39016 (relays), MIL-PRF-981 (magnetics), IPC-6012 and MIL-PRF-31032 (Printed Circuit Boards) and various electrical interconnect systems
+ Experience with developing Source Control Drawings or Component Specifications for EEEE components
+ Experience with developing and conducting qualification of EEEE components
+ Working knowledge of Destructive Physical analysis (M1580), Failure Analysis, physics of failure, Root Cause & Corrective Action, component specifications, component screening & qualification, component application qualification, and related installation/assembly process specifications
+ Experience with derating analysis of EEEE components
+ Experience in parts, materials and process selection, manufacturing support, failure analysis, and processing of materials for space hardware
+ Active DOD Secret or Top Secret clearance
**Salary Range:** $129,500 - $194,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Palmdale) Facilities Maintenance Manager 22024-03-12T06:15:14-04:00https://northropgrumman.jobs/1585651D7BD74DC2BDFC90663EDC293D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems is looking for a **Facilities Maintenance Manager 2** to join our team! This position will be located **on site** in **Palmdale, California** .
As a leader, you always act with integrity, and the highest ethical standards characterize everything you do. You enable your team to achieve predictable and balanced results, while satisfying the needs of internal and external partners. You treat all people with respect, and model personal growth and continuous development. You know how to build an inclusive environment that attracts, retains, and inspires a diverse and engaged team. You create trusted and valued customer relationships and communicate effectively, so that people throughout the organization feel engaged and connected to their work. You deliver excellence, strive for continuous improvement, and respond vigorously to change. You ensure that your team has the information and tools needed to perform at their best. You demonstrate our committed pursuit of applying innovation to meet the requirements of our customer. You create and execute strategies that result in sustainable value creation that delivers measurable results. If this is, YOU...keep reading!
**Essential Functions:**
+ Lead and manage work related to construct, maintain, and repair utilities systems, buildings, and infrastructure and equipment via the following trades: plumbing, air-conditioning, heating, HVAC mechanics, equipment controls programming (BMS - Building management system), remote monitoring systems and/or general maintenance. Manage and identify budgetary requirements to execute reoccurring services as well as one-time services to complete repairs.
+ Manages a program or a function within the larger organizational unit, setting & operating to objectives & providing guidance to subordinates based on goals, objectives & company policy. Program Manager responsibilities include: planning, risk management, and project performance addressing cost, schedule, and technical quality for related Work Breakdown Structure (WBS) elements on a large system development-type contract or full responsibility for all aspects of program performance on a large technical services-type contract.
+ Utilizes Maximo computerized maintenance management system to schedule, track and report on infrastructure maintenance, service requests/work orders and trouble calls.
+ Sets priorities and performs forecasting and allocates the resources needed to complete all preventive and corrective maintenance tasks.
+ Establish and implement a notification system (RENO alarms) to alert Facilities of utility emergencies, out of tolerance conditions and proactive responses of site systems.
+ Assignments are received in objective oriented terms. Provides guidance to subordinates based on organizational goals and company policy. Work is reviewed in terms of meeting the organizations objectives and schedules.
+ Advanced level of understanding in a specialized field or general understanding of several diverse disciplines. Involves a broad grasp of involved practices and procedures.
+ Regularly requires analysis of alternative courses of action. Decisions have risk/reward implications for program or area of influence.
+ Responsible for all projects assigned to the organizational group. Advisor to exempt employees. Helps meet established schedules or resolve technical or operational problems. Typically accomplishes results through lower level(s) of management or exempt employees.
+ Establishes operating procedures that affect organizational unit(s). Interprets, executes, and recommends modifications to organizational policies. Establishes operating policies and procedures that affect subordinate organizational units.
+ Develops and administers budgets and standards of performance.
+ Influences & impacts strategy through his/her role
+ Accomplishes results through subordinate supervisors, or exempt specialist employees. Subject to approval, modifies the organizational structure of centralized functions and units. Often responsible for managing a staff function of the company.
+ Responsible for all projects assigned to the organizational unit. Acts as an advisor to subordinate supervisors or staff members to meet schedules or resolve technical or operational problems. Directly participates in establishing and administering many centralized functional projects. Develop and administers budgets, schedules, and performance standards.
+ Exerts influence in the development of overall objectives and long-range goals of the organization. Erroneous decisions or recommendations would normally results in critical delays and modifications to projects or operations; cause substantial expenditure of additional time, human resources, and funds; jeopardize future business activity.
+ Frequent contact with equivalent level managers and customer representatives concerning projects, operational decisions, scheduling requirements, or contractual clarifications. Conducts briefings and technical meetings for internal and external representatives.
+ Ability to work the 4 /10 S hift
The selected candidate should thrive in a fast-paced work environment and must have the ability to multi-task and manage a number of competing priorities at the same time. The selected candidate must also enjoy working in an environment with high expectations, significantly diverse tasks, and a collaborative/team setting across all levels of employees and all levels of management.
**Basic Qualifications:**
+ Bachelor's Degree with 9 years of Facilities Maintenance experience OR a Master's Degree with 7 years of stated experience OR a High School Diploma/GED with 13 years of stated experience in lieu of a degree
+ Ability to obtain and maintain a DoD Secret Clearance
+ Familiar with establishing and tracking performance metrics
+ Excellent interpersonal communication skills
+ Familiar with Microsoft Office Outlook, Word, PowerPoint, Excel
+ Experience managing teams and achieving organization objectives, goals and metrics
+ Aerospace industry experience
+ Ability to comprehend and analyze complex problems
+ Experience working in a mission critical facility environment
+ Ability to work the 4 /10 S hift
**Preferred Qualifications:**
+ Bachelor's Degree
+ 10 years of leadership experience working operational or facilities maintenance management role
+ Familiar with aerospace equipment and manufacturing facilities infrastructure
+ Master's Degree in Business Management
+ Experience using Maximo and/or other maintenance management system
We offer phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly collaborative workplace. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do. Does this sound like you?
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself! Every ERG is inclusive of all employees!
At Northrop Grumman, we are innovating-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare and a great 401K matching program.
**Salary Range:** $114,100 - $171,100
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Principal Production Scheduling - Mast Scheduler SMT2024-03-12T06:15:13-04:00https://northropgrumman.jobs/357548EEE0B34FDE8ED9AD897EED364226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At the heart of Defining Possible is our commitment to missions. In rapidly changing global security environments, Northrop Grumman brings informed insights and software-secure technology to enable strategic planning. We're looking for innovators who can help us keep building on our wide portfolio of secure, affordable, integrated, and multi-domain systems and technologies that fuel those missions. By joining in our shared mission, we'll support yours of expanding your personal network and developing skills, whether you are new to the field, or an industry thought leader. At Northrop Grumman, you'll have the resources, support, and team to do some of the best work of your career.
We are looking for you to join our **Production Control Team** in **SMT** as a **Principal** **Production Scheduling - Master Scheduler** based out of **Baltimore, MD** . (BWI)
**What You'll Get to Do:**
+ Primary POC for help and questions from Production Control and Manufacturing Operators for use of DPS
+ Weekly review of "Flow Reason Codes" to make sure they are being worked and closed out appropriately
+ Maintain the calendar and resource groups for the Cell
+ Maintain either scan location checks, or MCS ready check for that area to make sure both are being worked properly
+ Perform first line check of data issues and decide if they need to be escalated to the DPS team
+ Weekly check in for capacity on constraint resources with management
+ Make decisions on manual priority changes within the system
+ Review Tableau metrics to help achieve and maintain 85% schedule attainment
+ Meet weekly/bi-weekly with other Master Schedulers for communication across multiple Cells
+ Maintain physical material movement matches with electronic material movement based on agreed upon direction for the Cell
+ Weekly review of planned kits to make sure they are being processed appropriately
+ Validate work is being released to the floor in an efficient manner following the gating schedule if appropriate
+ Review out of cycle reports to reduce the amount of unavailable work
**Basic Qualifications:**
+ A Bachelor's degree and 5 years of relevant work experience or 3 years of relevant work experience with a Master's degree or 9 years of relevant work experience in lieu of a degree
+ Experience with SAP or other Enterprise Resource Planning (ERP) system and MS Office applications including Excel, Word, Project, and Power Point
+ Must be a U.S. Citizen with the ability to obtain and maintain a U.S. Secret Level Security Clearance
**Preferred Qualifications:**
+ Degree in Industrial Engineering, Business Administration, Supply Chain Management, Mathematics, or other closely related area are preferred
+ Active U.S. Secret Level Security Clearance or higher
+ Experience in MRP and Production Control
+ Self-Motivated Individual with strong organizational, communication, interpersonal, and PC computer skills
**What We Can Offer You:**
Northrop Grumman provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family.
Your benefits will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
As a full-time employee of Northrop Grumman Mission Systems, you are eligible for our robust benefits package including:
+ Medical, Dental & Vision coverage
+ 401k
+ Educational Assistance
+ Life Insurance
+ Employee Assistance Programs & Work/Life Solutions
+ Paid Time Off
+ Health & Wellness Resources
+ Employee Discounts
Link to Benefits: https://totalrewards.northropgrumman.com/
**NGFeaturedJobs**
MANUMS
Northrop Grumman has approximately 90,000 employees in all 50 states and in more than 27 countries. We strive to attract and retain the best employees by providing an inclusive work environment wherein employees are receptive to diverse ideas, perspectives, and talents to help solve our toughest customer challenges: to develop and maintain some of the most technically sophisticated products, programs, and services in the world.
Our Values . The women and men of Northrop Grumman Corporation are guided by Our Values. They describe our company as we want it to be. We want our decisions and actions to demonstrate these Values. We believe that putting Our Values into practice creates long-term benefits for shareholders, customers, employees, suppliers, and the communities we serve.
Our Responsibility . At Northrop Grumman, we are committed to maintaining the highest of ethical standards, embracing diversity and inclusion, protecting the environment, and striving to be an ideal corporate citizen in the community and in the world.
**Salary Range:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Staff Engineer RF Microwave Design2024-03-12T06:15:13-04:00https://northropgrumman.jobs/DAEF70D0BEA64D37A0D20C89362CCE4D26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
The qualified candidates will work with a diverse and talented team of engineers developing innovative and cost-effective systems that support a broad range of products and business areas across the lifecycle of a program. Applicants should possess the ability to communicate effectively and clearly present technical approaches and findings.
The AMS E&S organization at Northrop Grumman Missions Systems in Baltimore, Maryland is seeking experienced **RF engineers** in the following areas:
Antennas, Electromagnetics, Computational Electromagnetics, RF/Microwave design, Digital beam-forming. Work is performed without appreciable direction and exercising considerable latitude in determining technical objectives of assignments. Candidates would have the ability to develop technical solutions to complex problems which require the regular use of ingenuity and creativity.
Responsibilities may include development of:
+ antenna architectures
+ receiver / exciter architectures,
+ requirements generation/flow down
+ subsystem analysis including hardware from RF to digits
+ performance modeling
+ support for performance testing, requirements verification, and more.
In addition, this candidate should have demonstrated technical ability/leadership and expertise in one or more of the following areas:
+ Active electronically scanned arrays (AESAs)
+ Highly integrated subsystems including antenna hardware
+ Reflectors, Reflect Arrays, Digital Beam Forming
+ Antenna/RF Performance Analysis
+ Computational Electromagnetics, Statistics
+ Antenna Measurements and Data Reduction
+ Subsystem requirements (hardware and software) derivation, flow-down, and configuration
+ Comfortable creating test support applications and tools using MATLAB, python, etc
+ Experience with Atlassian Tool Suite, Git
The Engineering RF Microwave Design positions require the candidates to have the ability to obtain and maintain at least a Secret Clearance per business requirements. These roles are full-time onsite opportunities.
**Basic Qualification for Staff RF Microwave Design Engineer:**
+ Must hold a Secret or Top Secret security clearance
+ Education
+ Must have a Bachelor's Degree in Engineering or related discipline with 14 years of experience in the areas described in the job description or
+ Master's Degree with 12 years of experience or
+ PhD with 9 years of experience
+ Have exceptional experience using MATLAB
+ Have a strong understanding of Antenna/RF Performance Analysis
+ Be able to work with various engineering stakeholders to establish
+ Lower level, subsystem level and system level requirements/flow down
+ Antenna hardware performance
+ Be able to delegate work to multiple junior level RF engineers
**Preferred Qualifications:**
+ TS/SCI clearance
+ SAP clearance
+ Experience troubleshooting RF subsystems
+ Have a strong understanding of Antenna range integration, test, and system integration
+ Demonstrate ability in technical and team leadership overseeing engineers with experience at least at the Sr. Principal level
+ At the hardware antenna level, be the technical leader that interacts with the system architect and the program office to achieve successful delivery of the final product.
**Salary Range:** $157,500 - $236,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Clearfield) Level 2/Level 3 Program Cost and Schedule Control Analyst2024-03-12T06:15:12-04:00https://northropgrumman.jobs/5F4CFF20DB984E1997A65DEE66AA990B26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
This requisition may be filled at a higher grade based on qualifications listed below.
**Northrop Grumman Aeronautics Systems (NGAS)** is seeking a qualified **Program** **Cost Schedule Control Analyst (Level 2) or Principal Program Cost and Schedule Control Analyst (Level 3)** to join our team of high performing, diverse individuals. This position will be located **on site** in **Clearfield, UT** and requires working from our NG facility. An Active Secret Clearance issued by the Department of Defense is required to be considered for the role.
The Air Dominance Division is a fast paced, dynamic, and complex environment that requires a candidate to possess self-initiative, strong analytical skills, and solid leadership characteristics. Candidate will have the following, but not limited to, responsibilities:
+ Prepare budgets and schedules for contract work and perform and/or assists in financial analyses such as funding profiles, sales outlook, and variance analysis
+ Prepare program plans to ensure program requirements and statement of work are captured and scheduled
+ Perform analyses and prepare reports in order to ensure that contracts are within negotiated and agreed-upon parameters and government cost control guidelines
+ Ensure adequate funding availability by maintaining accurate records of expenditures, directing preparation of expenditure projections, and submitting timely requests for additional funding to the government
+ Conduct cost analysis to develop EACs, generate financial inputs, and support monthly cost and schedule reviews
+ Identify pressures and opportunities, and develop recovery plans as required
+ Set up cost control systems (e.g. Costpoint and COBRA) and incorporate changes into the EVMS system to maintain cost and schedule baselines
+ Provide support to Integrated Product Teams, Cost Management, and Business Management Managers
+ Assist CAMs with EVMS activities including: WBS alignment, time phasing of the tasks, developing and documenting earned value methodologies, monthly performance measurement, estimate to complete analysis and updates, and variance analysis research and reporting
+ Support audits and reviews requested by programs, customers, and company management
+ Prepare government cost performance reports and preparation and/or review of performance measurement variance analysis (e.g. CFSR, CSDR, IPMR)
+ Earned Value Management Program Experience with familiarity to EIA-748 ANSI EV Guideline Reviews, and SOX Controls
This position may be filled as either a Level 2 Program Cost and Schedule Control Analyst or a Level 3 Principal Program Cost and Schedule Control Analyst.
**Basic Qualifications (for Level 2):**
+ Master's degree with relevant experience or Bachelor's Degree with 2 years' proven experience in program control, cost planning, business, finance, and/or similar industry related fields
+ Proficient with MS Office including Excel (with Macros, Pivot tables and VLOOKUP) and PowerPoint
+ **Active Secret Clearance with the ability to obtain and maintain program access**
**Basic Qualifications (for Level 3):**
+ Master's degree with 3 year experience or Bachelor's Degree with 5 years' proven experience in program control, cost planning, business, finance, and/or similar industry related fields
+ Proficient with MS Office including Excel (with Macros, Pivot tables and VLOOKUP) and PowerPoint
+ Experience utilizing Earned Value Management principles and practices
+ **Active Secret Clearance with the ability to obtain and maintain program access**
**Preferred Qualifications:**
+ Possess intermediate to advanced skills working in Deltek systems like Cobra and/or MPM
+ Experience with SAP and/or Costpoint
+ Experience successfully supporting a DoD monthly reporting & financial rhythm
+ Understanding of and experience with providing support to Integrated Product Teams (IPT)
+ Experience in Integrated Baseline Review (IBR) and DCMA Joint Surveillance Reviews (JSRs)
+ Candidate must gain and have an understanding of, and adherence to, company policies, procedures and internal controls
We offer phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly collaborative workplace. We are looking for self-motivated, proactive, and goal-oriented people to help us grow our services and become even better at what we do. Does this sound like you?
Our Employee Resource Groups (ERGs) provide benefits for the member, our leaders and the company. Our ERGs offer opportunities to be a friend, be active, be a volunteer, be a leader, to be recognized and to be yourself! Every ERG is inclusive of all employees!
At Northrop Grumman, we are innovating-- building the next generation of sophisticated aircraft to protect our country. Our diverse portfolio of programs means there are endless paths to cultivate your career. We are well-known for our inclusive, family environment, as well as our excellent work/life balance. We also offer exceptional benefits/healthcare, a 9/80 schedule OR 4/10 schedule, and a great 401K matching program.
**Salary Range:** $62,200 - $93,400
**Salary Range 2:** $76,600 - $115,000
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Industrial Engineer2024-03-12T06:15:12-04:00https://northropgrumman.jobs/B1544745C33945CE964C9B6425DAD3A626At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Mission Systems Sector Manufacturing Operations Engineering Organization** is seeking an **Industrial Engineer** . This position is located in Linthicum, MD.
**What's Great About Working for Northrop Grumman:**
**Be part of a culture that thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work.
**Use your skills to build and deliver innovative tech solutions that protect the world and shape a better future.
**Enjoy benefits like work-life balance, education assistance and paid time off.
**Many sites have 9/80 days, telework and flexible scheduling options, plus generous paid time off.
**Northrop Grumman was recognized as one of the world's most flexible employers by Sustainability Magazine in 2023.
**Northrop Grumman has made the Top 50 list for Diversity Inc. for 14 consecutive years!
**For this job, hybrid telecommuting means that once you are up-to-speed, you may work from home up to 2 days per week. You will need to be onsite the other days each week.**
The **Industrial Engineer** will be assigned to a manufacturing cell, paired with an experienced lead Industrial Engineer (IE), with the expectation of quickly gaining the skills to either take over the lead role or to take over a manufacturing cell.
**A cell IE works closely with the manufacturing leadership team to:**
•Monitor labor performance and develop plans for improvement.
•Monitor capital resource loading and develop plans for capacity management.
•Coordinate facility capital plan execution.
•Define and document process designs (product routings).
•Use and maintain models for standard work assignment.
•Lead Continuous Improvement activities (e.g. 5-S, VSM, 6-Sigma).
•May establish or assist in establishing accident prevention measures.
•May manage training programs for personnel concerning all phases of production operations.
**Basic Qualifications:**
+ Bachelor's of Science degree or higher in Engineering or other STEM area AND 2 years of relevant experience (or 0 years with a Master's)
+ Industrial Engineering experience or Manufacturing experience
**Preferred Qualifications:**
+ BS or higher in Industrial, Systems or Mechanical Engineering
+ An advanced degree (MS)
+ Professional experience in Operations Engineering
+ Experience with SAP or other Enterprise Resource Planning (ERP) system
+ Experience in defense, aerospace, or automotive industry
+ Technical experience working in a manufacturing/production environment
**Northrop Grumman** provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family. **Your benefits** will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Northrop Grumman** has approximately 90,000 employees in all 50 states and in more than 27 countries. We strive to attract and retain the best employees by providing an inclusive work environment wherein employees are receptive to diverse ideas, perspectives, and talents to help solve our toughest customer challenges: to develop and maintain some of the most technically sophisticated products, programs, and services in the world.
**Our Values.** The women and men of Northrop Grumman Corporation are guided by Our Values. They describe our company as we want it to be. We want our decisions and actions to demonstrate these Values. We believe that putting
Our Values into practice creates long-term benefits for shareholders, customers, employees, suppliers, and the communities we serve.
**Our Responsibility** . At Northrop Grumman, we are committed to maintaining the highest of ethical standards, embracing diversity and inclusion, protecting the environment, and striving to be an ideal corporate citizen in the community and in the world.
**Salary Range:** $75,700 - $113,500
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-NM-Albuquerque) Associate Instructional Designer (Internal Training Technical)2024-03-12T06:15:11-04:00https://northropgrumman.jobs/6D4F871AE0564077B89521CEBEAABF9126At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Are you interested in expanding your career through experience and exposure, all the while supporting a mission that seeks to ensure the security of our nation and its allies? If so, then Northrop Grumman may be the place for you. As a leading global security company providing innovative systems, products and solutions to customers worldwide, Northrop Grumman offers an extraordinary portfolio of capabilities and technologies.
Here at Northrop Grumman, we are comprised of professionals that bring different perspectives, are curious about the world, accepting of each other, and understand that the more ideas, backgrounds, and experiences we bring to our work then the more innovative we can be. As we continue to build our talented workforce we look for professionals that exemplify our core values, leadership characteristics, and approach to innovation.
We are looking for an instructional systems designer to be part of the Northrop Grumman's Learning and Communications Solutions (LCS) team in Albuquerque, NM. LCS consists of a diverse team of instructional designers, educational psychologists, software engineers, multimedia developers, user experience (UX) designers, artists, writers, and creative professionals. The team works on a variety of projects, creating innovative design solutions for training and information projects in digital media, including immersive environments.
**Key Responsibilities:**
+ Designing and developing training materials and curricula to support program implementation across targeted audiences.
+ Developing instructional materials and products, such as web-based training, self-paced guides, case studies, job aids, and evaluations; scenario-based storyboards; and concepts for technology-based courses delivered through online, mobile, and immersive platforms.
+ Creating and analyzing assessments to evaluate learning effectiveness and instructional improvement opportunities, including pre-tests, post-tests, and quizzes.
+ Demonstrating effective needs analysis, project management, course development, and evaluation skills.
+ Working with subject matter experts (SMEs) to identify specific training needs and develop solutions.
**Basic Qualifications:**
+ Bachelor's degree in Communication, Education, Instructional Systems Design, Training & Development, or other relevant degree and 0 years of experience OR High School Diploma with 4 years of experience
+ Up to 2 years of experience developing e-learning (e.g. computer-based, web-based training, online learning) that is delivered through digital media including LMS, web, video, or mobile devices
**Preferred Qualifications:**
+ Master's degree in Communication, Instructional Systems Design or Training & Development
+ Up to 2 years of experience on the creative application of the ADDIE model
+ Utilize current ISD Learning Theory to solve complex learning and performance problems
+ Experience developing instructor guides, student manuals, workbooks, and related materials.
+ Experience delivering instructor-led training
+ Ability to obtain a Secret Clearance.
**Salary Range:** $20 - $30
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MS-Iuka) Engineer Manufacturing/ Principal Engineer Manufacturing2024-03-12T06:15:09-04:00https://northropgrumman.jobs/0A4FF75A37664841A91DB20897D9A53026At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Aeronautics Systems sector is seeking a **Manufacturing Process Engineer/Principal Manufacturing Process Engineer** to join our team of qualified, diverse individuals. This position will be in **Iuka, MS** where technology and teamwork come together. The qualified applicant will become part of Northrop Grumman's Manufacturing Engineering Team working in a collaborative environment while promoting a positive and proactive teamwork environment.
This position does not have the option to work remote and requires the chosen candidate to work on-site in **Iuka, MS** .
**Manufacturing Process Engineers** for Aeronautics Systems are expected to provide technical solutions to a wide range of complex and difficult problems. They are problem solvers who can provide results that are innovative, thorough, practical, and consistent with organization objectives. We seek Manufacturing Process Engineers that use a unique skill set that includes a hands-on approach and act as the technical representative voice for the Manufacturing organization. The work we do impacts our national security. Does this sound exciting to you? Come join us and be a part of a rich condition of aerospace innovation!
**Job duties involve the following:**
+ Coordinate with Engineering, Production, Industrial Engineering and Quality departments to identify process and special tooling requirements to support production schedules.
+ Develops process parameters, equipment and tools for "state-of-the-art" Mechanical Processes required for assembly and part fabrication. Including but not limited to machining, cold working and metalworking, bushing and bearing installation, composites, systems installation and electrical cable harness assembly.
+ Defines & develops Tool Equipment Kits including specifying consumable stations and clecos.
+ Identify yearly capital tool expenditure plan to support MPE/STS and Manufacturing (specify, order& maintain). This includes coordination with supplier to provide pricing/quotations, training, and creating justification for Business Management.
+ Provide technical assistance to production technicians to troubleshoot process. Specialty/unique tool related problems.
+ Provide technicians with training for new and current processes.
+ Designs non-accountable and expendable contract tools required to support assembly processes.
+ Establishes certification requirements for critical mechanical processes.
+ Perform functional evaluations of commercial equipment and tools pertaining to mechanical processes.
+ Manage PO placement and track receipt of capital asset. Coordinate with Property Managements for property tags of equipment.
+ Establishes approved sources for procurement and procure all small air and hand tools to support manufacturing.
+ Designs mechanical processes with associated equipment and tools to support repairs and structural enhancements.
+ Perform validation / verification on site when process complexity warrants site support.
+ Develop Mechanical and Electrical Process Improvements
+ Review customer finished drawings and specifications for potential impact to processes.
+ Create work instructions for processes.
+ Analyze Electronic Toolbox reporting and collaborate with Standard Tool Service to purchase or remove tools.
+ Support Standard Tool Supplier issues.
+ Coordinate with integrated on-site supplier to identify and specify perishables and consumables to be stocked on the production line
+ Detail oriented, teamwork oriented, and have a strong work ethic.
We offer flexible work arrangements, phenomenal learning opportunities, exposure to a wide variety of projects and customers, and a very friendly team environment. This position is for a day shift 4/10s or Monday to Thursday 7am to 5:30 and may require off hour support based on business need.
**This requisition may be filled as an** **Manufacturing Process Engineer** ( **Level 2) or a Principal Process Manufacturing Engineer (Level 3) based on the years' experience.**
**Basic Qualifications Manufacturing Process Engineer:**
+ Bachelor of Science in a STEM (Science, Technology, Engineering, Math) degree, with 2 years of experience in engineering, production/operations, aerospace and/or military OR Master's degree with 0 years' experience
+ Experience in GD&T, NX, MES, Solidworks or equivalent programs.
+ Experience using Microsoft Suite and other electronic databases.
+ Ability to travel 10-15% of the time Domestically.
+ Must have the ability to obtain and maintain a DOD Secret level clearance, including Special Access Program within a reasonable period, as determined by the company to meet its business needs.
**Basic Qualifications for Principal Process Manufacturing Engineer:**
+ Bachelor of Science in a STEM (Science, Technology, Engineering, Math) degree, with 5 years of experience in engineering, production/operations, aerospace and/or military OR Masters in a STEM discipline with 3 years' experience in engineering, production/operations, aerospace and/or military OR PhD with 0 years of experience
+ Experience in GD&T, NX, MES, Solidworks or equivalent programs.
+ Experience using Microsoft Suite and other electronic databases.
+ Ability to travel 10-15% of the time Domestically.
+ Must have the ability to obtain and maintain a DOD Secret level clearance, including Special Access Program within a reasonable period, as determined by the company to meet its business needs.
**Preferred Qualifications:**
+ Active Special Program Access and DOD Secret Clearance Level
+ Bachelor's degree in electrical engineering, Mechanical Engineering or Aerospace Engineering, or Systems Engineering.
+ Demonstrated experience to formulate and execute technical solutions to part, assembly and tooling challenges.
+ Demonstrated Project Management experience.
+ Experience with developing enhancement processes that improve production shop floor efficiencies.
+ Experience with reviewing engineering models during concept design phase to correlate the manufacturing desired final engineering model.
+ Excellent communicator, ability to provide product improvement proposals and present to engineering designers and technical team for approval.
+ Experience providing innovative techniques focused on improving product designs and tooling assemblies or equipment.
+ Interpret assembly manufacturing drawings, parts lists, cable design, schematics, and engineering change support documents.
+ Firm understanding of composites fabrication.
+ Experience with MES, NX, Process Work Instructions (PWIs), Material Review Board for non-standard repair (MRB), CribMaster
**Salary Range:** $64,900 - $97,300
**Salary Range 2:** $79,800 - $119,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CO-Aurora) Systems Engineer / Principal Systems Engineer (**Active TS/SCI DoD clearance with CI Poly required)2024-03-12T06:15:09-04:00https://northropgrumman.jobs/85DCF67DCAF74C71861B8E533534227A26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is seeking a Systems Engineer to join our team supporting our RUSHMORE program. This position would be based in the **Aurora, Colorado** area at **Buckley Space Force Base** .
This role performs functional analysis, timeline analysis, detail trade studies, requirements allocation, and interface definition studies to translate customer requirements into hardware and software specifications.
Job responsibilities will include, but not be limited to, the following:
+ Performs technical planning, system integration, verification and validation, cost and risk, and supportability and effectiveness analyses for total systems.
+ Analyses are performed at all levels of the total system product to include: concept, design, fabrication, test, installation, operation, maintenance, and disposal.
+ Ensures the logical and systematic conversion of customer or product requirements into total systems solutions that acknowledge technical, schedule, and cost constraints.
**This position requires being onsite and does not support remote or hybrid work.**
This requisition may be filled at either a level 2 or a level 3, depending on the candidate's experience as laid out in the basic qualifications detailed below as well as the interview assessment.
**Basic Qualifications**
+ Level 2:
+ 2 Years of relevant experience with a completed Bachelor's-STEM degree; 0 Years of relevant experience with a completed Masters's-STEM degree; [completed STEM degree is required to be considered for this role]
+ Level 3:
+ 5 Years of relevant experience with a completed Bachelor's-STEM degree; 3 Years of relevant experience with a completed Masters's-STEM degree; [completed STEM degree is required to be considered for this role]
+ **Must have an Active/Final TS/SCI DoD security clearance WITH a CI Poly**
+ Willingness to work a non-traditional work week that will include occasional 12 hour shifts and weekends
+ Experience in Linux
+ Experience with scripting languages such as Perl or MATLAB
**Preferred Qualifications**
+ Prior operations and/or systems engineering experience
+ Experience working in a geographically diverse team and matrix organization
+ Experience with Atlassian tool suite (e.g. Confluence, JIRA, etc.)
+ Experience with Linux OS and scripting tools
To better support our employee's work/life balance, most NG worksites support a 9/80 work schedule. The 9/80 schedule allows employees to work a nine-hour day Monday through Thursday and take every other Friday off. This schedule or other work-hour flexibility options can be determined upon hire.
With us, you'll discover a culture of curiosity and collaboration that will have you Defining Possible from the day you start. Our space systems connect and protect millions of people on Earth every day, now and for the future. Explore your future and launch your career today. #definingpossible
**Salary Range:** $83,300 - $124,900
**Salary Range 2:** $102,400 - $153,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-UT-Roy) Industrial Security Analyst (12622)2024-03-12T06:15:08-04:00https://northropgrumman.jobs/841D3948F6484E58B4631CA132CA07B226At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage, and a pioneering spirit to join forces to invent the future and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is seeking an **Industrial Security Analyst** to work in our **Roy, UT** location. Candidate will be primarily responsible to perform the responsibilities of leading the Badge Office. Candidate will be responsible for maintaining compliance with applicable security regulations.
The responsibilities include but are not limited to the following:
+ Lead team of employees in the production of employee and visitor badges.
+ Ability to excel in a fast-paced deadline-driven environment.
+ Self-starter with minimal supervision.
+ Exceptional customer service skills.
+ Ability to problem solve and apply critical thinking.
+ Strong organizational skills.
+ Ensure compliance with government and corporate security policies/procedures.
**Basic Qualifications** :
+ Bachelor's degree and three years of related security experience. In lieu of a degree, an additional four years of related security experience may be considered.
+ Candidate must be a US Citizen and have a U.S. Government **Top Secret** clearance and the ability to maintain a U.S. Government clearance.
+ Organized and efficient at time management.
+ Computer and desktop Microsoft office software products experience.
+ Must be detail-oriented, have meticulous record-keeping, and will be responsible for accurate data input into multiple databases/spreadsheets.
**Preferred Qualifications** :
+ Self-starter with minimal supervision.
+ Familiarity with 32 CFR Part 117 (NISPOM) and DoD 5205.07 manuals.
+ Ability to excel in a fast-paced deadline-driven environment.
+ Familiarity with Security Information Management System (SIMs).
+ Meticulous record-keeping and responsible for accurate data input into multiple databases/spreadsheets.
+ Excellent communication skills, speaking, writing skills, and organizational skills enabling effective communications.
**Salary Range:** $62,200 - $93,400
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-CA-Redondo Beach) Industrial Security Manager 2/Contractor Program Security Officer (CPSO) (12357)2024-03-12T06:15:08-04:00https://northropgrumman.jobs/F506B2CED86D496EA1027FAE92E232AF26At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
Northrop Grumman Space Systems is seeking an **Industrial Security Manager 2/Contractor Program Security Officer (CPSO)** for our **Redondo Beach, CA** location. This position will support the Sentinel (GBSD) program.
The responsibilities include, but are not limited to the following:
+ Develops and administers security programs and procedures for classified or proprietary materials, documents, and equipment.
+ Studies and implements federal security regulations that apply to company operations.
+ Obtains rulings, interpretations, and acceptable deviations for compliance with regulations from government agencies.
+ Prepares manuals outlining regulations, and establishes procedures for handling, storing, and keeping records, and for granting personnel and visitors access to restricted records and materials.
+ Develops and implements security education program.
+ Oversees internal security audits.
+ Investigates security violations and prepares reports specifying preventive action to be taken.
+ Provides direction to security team members to assist with the execution of their statement of work.
+ Assist with team members' professional development and growth.
+ Leading a team of security professionals to ensure consistent application of the leadership characteristics that are expected of all employees within the organization.
+ Ensuring employee engagement across the team, Collaboration across teams within the Security organization.
+ Providing leadership, guidance, and professional development/mentoring to professionals at all levels.
+ Developing and implementing security policy and standards across the program enterprise.
+ Ensuring all program performance objectives as well as key milestones and deliverables are met.
+ Managing a security budget within an established target.
+ Manage program security compliance and operations across multiple functions, Physical Security, COMSEC, Investigations and Document Control Management.
**Basic Qualifications:**
+ Six years of relevant security experience with Bachelor's degree or five years of relevant security experience with Master's degree. In lieu of a Bachelor's degree, an additional 4 years of relevant work experience may be considered.
+ Candidate must be a US citizen, have and be able to maintain an active U.S. Government security clearance at the **Top Secret** level with a background investigation date within 5 years.
**Preferred Qualifications:**
+ Prior compartmentalized security (SAP or SCI) experience.
+ CDSE Industrial Security Training - FSO for Possessing Facilities, Intro to SAP, SAP Compliance, SAP Mid-Level, etc.
+ Excellent customer service and communication skills.
+ Ability to work independently and follow projects through to completion.
+ Ability to maintain flexibility to deal with changing priorities and deadlines.
+ Experience leading or mentoring other personnel in a team environment.
+ Strong working knowledge of basic office automation tool suites such as MS Office (Word, Excel, PowerPoint).
+ OPSEC program experience.
+ Self-starter with minimal supervision.
+ Excellent communication skills speaking, writing skills and organized skills enabling effective communications.
+ Computer and desktop office software products experience.
+ Classification review experience.
**Salary Range:** $125,500 - $188,300
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.(USA-MD-Baltimore) Manufacturing Engineer/Principal Manufacturing Engineer - Final Assembly - Active Secret Clearance required2024-03-12T06:15:07-04:00https://northropgrumman.jobs/6A300CF374BB46CCB74BD25667E791F726At Northrop Grumman, our employees have incredible opportunities to work on revolutionary systems that impact people's lives around the world today, and for generations to come. Our pioneering and inventive spirit has enabled us to be at the forefront of many technological advancements in our nation's history - from the first flight across the Atlantic Ocean, to stealth bombers, to landing on the moon. We look for people who have bold new ideas, courage and a pioneering spirit to join forces to invent the future, and have fun along the way. Our culture thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work - and we have an insatiable drive to do what others think is impossible. Our employees are not only part of history, they're making history.
**Northrop Grumman Mission Systems Sector** has an immediate need for **Manufacturing Process Engineers to support the Final Assembly area** within **manufacturing.** The primary location is our Linthicum, MD facility.
**What's Great About Working for Northrop Grumman:**
**Be part of a culture that thrives on intellectual curiosity, cognitive diversity and bringing your whole self to work.
**Use your skills to build and deliver innovative tech solutions that protect the world and shape a better future.
**Enjoy benefits like work-life balance, education assistance and paid time off.
**Many sites have 9/80 days, telework and flexible scheduling options, plus generous paid time off.
**Northrop Grumman was recognized as one of the world's most flexible employers by Sustainability Magazine in 2023.
**Northrop Grumman has made the Top 50 list for Diversity Inc. for 14 consecutive years!
**The Manufacturing Process Engineer has responsibilities that include, but are not limited to:**
**Process Development:**
•Developing new and maintaining, or improving, existing manufacturing processes with a focus on production capabilities and affordability
•Providing ROI justification for process improvements
•Creating and managing project plans (tasks, resources and schedules) for process development activities
•Creating and documenting designs for custom tooling
•Specifying, identifying and procuring new manufacturing equipment for production readiness and preparing equipment for production
•Developing and optimizing process parameters
•Developing/revising process documentation and work instructions
**Factory Support:**
•Monitoring process yields and cost, recommending and implementing quality improvements to drive improved metrics
•Solving of manufacturing problems (related to materials, designs, equipment and tooling, training and instructions) to support production
•Assisting with the training of new personnel and participation in the design of operation training materials
•Supporting factory maintenance personnel with the troubleshooting and repair of process equipment
**Design and Engineering Support:**
•Recommending product design improvements required to produce advanced microelectronics products
•Documenting state-of-the-art process capabilities and guidelines for product designers
•Participating in design producibility reviews for new products
**Miscellaneous:**
•Providing supplier support, as needed, to resolve issues within supply base
•Providing Request for Proposal (RFP) input specifically as it relates to Non-Recurring Engineering (RE) requirements such as tooling, capital equipment and/or new process development
The chosen candidate must be able to multi-task in a fast-paced, dynamic, and high visibility environment; work well in a team environment; and have excellent written and verbal communication skills.
This requisition may be filled as a Manufacturing Process Engineer or a Principal Manufacturing Process Engineer based on the qualifications listed below.
**Basic Qualifications for a Manufacturing Process Engineer:**
+ Bachelor's degree or higher in Engineering or other related STEM area and at least two (2) years of experience in Manufacturing/Process Engineering, Production, or related area - OR - a Master's degree
+ Must have fluency in MS Office software applications
+ 3D Solid Modeling experience using a CAD software to design and create custom tooling for product assembly
+ Active Secret security clearance required
+ Ability to obtain and maintain a higher level (SAP) clearance
**Basic Qualifications for a Principal Manufacturing Process Engineer:**
+ Bachelor's degree or higher in Engineering or other related STEM area and at least five (5) years of experience in Manufacturing/Process Engineering, Production, or related area - OR - a Master's degree and 3 years
+ Must have fluency in MS Office software applications
+ 3D Solid Modeling experience using a CAD software to design and create custom tooling for product assembly
+ Active Secret security clearance required
+ Ability to obtain and maintain a higher level (SAP) clearance
**Preferred Qualifications:**
+ Experience with composite bonding and composite bonding assemblies
+ Familiarity with J-STD and MIL-STD requirements
+ 3D modeling experience in Unigraphics/NX
+ Experience with the Teamcenter Manufacturing tool suite and Manufacturing Process Planning
+ Familiarity with Design of Experiment (DOE), Statistical Process Control (SPC), and 6 sigma concepts for process development and control
+ Knowledge of Geometric Dimensioning and Tolerancing (GD&T)
**Northrop Grumman** provides a comprehensive benefits package and a work environment which encourages your growth and supports the mutual success of our people and our company. Northrop Grumman benefits give you the flexibility and control to choose the benefits that make the most sense for you and your family. **Your benefits** will include the following: Health Plan, Savings Plan, Paid Time Off and Additional Benefits including Education Assistance, Training and Development, 9/80 Work Schedule (where available), and much more!
**Northrop Grumman** has approximately 90,000 employees in all 50 states and in more than 27 countries. We strive to attract and retain the best employees by providing an inclusive work environment wherein employees are receptive to diverse ideas, perspectives, and talents to help solve our toughest customer challenges: to develop and maintain some of the most technically sophisticated products, programs, and services in the world.
**Our Values.** The women and men of Northrop Grumman Corporation are guided by Our Values. They describe our company as we want it to be. We want our decisions and actions to demonstrate these Values. We believe that putting
Our Values into practice creates long-term benefits for shareholders, customers, employees, suppliers, and the communities we serve.
**Our Responsibility.** At Northrop Grumman, we are committed to maintaining the highest of ethical standards, embracing diversity and inclusion, protecting the environment, and striving to be an ideal corporate citizen in the community and in the world.
**Salary Range:** $75,700 - $113,500
**Salary Range 2:** $93,000 - $139,600
The above salary range represents a general guideline; however, Northrop Grumman considers a number of factors when determining base salary offers such as the scope and responsibilities of the position and the candidate's experience, education, skills and current market conditions.
Employees may be eligible for a discretionary bonus in addition to base pay. Annual bonuses are designed to reward individual contributions as well as allow employees to share in company results. Employees in Vice President or Director positions may be eligible for Long Term Incentives. In addition, Northrop Grumman provides a variety of benefits including health insurance coverage, life and disability insurance, savings plan, Company paid holidays and paid time off (PTO) for vacation and/or personal business.
The application period for the job is estimated to be 20 days from the job posting date. However, this timeline may be shortened or extended depending on business needs and the availability of qualified candidates.
Northrop Grumman is committed to hiring and retaining a diverse workforce. We are proud to be an Equal Opportunity/Affirmative Action Employer, making decisions without regard to race, color, religion, creed, sex, sexual orientation, gender identity, marital status, national origin, age, veteran status, disability, or any other protected class. For our complete EEO/AA and Pay Transparency statement, please visit http://www.northropgrumman.com/EEO . U.S. Citizenship is required for most positions.